WO2008021363A3 - Electron beam processing method using carbon nanotube emitter - Google Patents

Electron beam processing method using carbon nanotube emitter Download PDF

Info

Publication number
WO2008021363A3
WO2008021363A3 PCT/US2007/017995 US2007017995W WO2008021363A3 WO 2008021363 A3 WO2008021363 A3 WO 2008021363A3 US 2007017995 W US2007017995 W US 2007017995W WO 2008021363 A3 WO2008021363 A3 WO 2008021363A3
Authority
WO
WIPO (PCT)
Prior art keywords
electron beam
carbon nanotube
processing method
beam processing
processing operation
Prior art date
Application number
PCT/US2007/017995
Other languages
French (fr)
Other versions
WO2008021363A2 (en
Inventor
Neal R Rueger
Mark J Williamson
Gurtej S Sandhu
Original Assignee
Micron Technology Inc
Neal R Rueger
Mark J Williamson
Gurtej S Sandhu
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology Inc, Neal R Rueger, Mark J Williamson, Gurtej S Sandhu filed Critical Micron Technology Inc
Publication of WO2008021363A2 publication Critical patent/WO2008021363A2/en
Publication of WO2008021363A3 publication Critical patent/WO2008021363A3/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • H01L21/0212Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC the material being fluoro carbon compounds, e.g.(CFx) n, (CHxFy) n or polytetrafluoroethylene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3127Layers comprising fluoro (hydro)carbon compounds, e.g. polytetrafluoroethylene

Abstract

Methods and devices for selective etching in a semiconductor process are shown. Chemical species generated in a reaction chamber provide both a selective etching function and concurrently form a protective coating on other regions. An electron beam provides activation to selective chemical species. In one example, reactive species are generated from a plasma source to provide an increased reactive species density. Addition of other gasses to the system can provide functions such as controlling a chemistry in a protective layer during a processing operation. In one example an electron beam array such as a carbon nanotube array is used to selectively expose a surface during a processing operation.
PCT/US2007/017995 2006-08-14 2007-08-14 Electron beam processing method using carbon nanotube emitter WO2008021363A2 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/503,690 2006-08-14
US11/503,690 US7718080B2 (en) 2006-08-14 2006-08-14 Electronic beam processing device and method using carbon nanotube emitter

Publications (2)

Publication Number Publication Date
WO2008021363A2 WO2008021363A2 (en) 2008-02-21
WO2008021363A3 true WO2008021363A3 (en) 2008-08-07

Family

ID=39051322

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2007/017995 WO2008021363A2 (en) 2006-08-14 2007-08-14 Electron beam processing method using carbon nanotube emitter

Country Status (3)

Country Link
US (2) US7718080B2 (en)
TW (1) TWI376726B (en)
WO (1) WO2008021363A2 (en)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7718080B2 (en) 2006-08-14 2010-05-18 Micron Technology, Inc. Electronic beam processing device and method using carbon nanotube emitter
US7791055B2 (en) 2006-07-10 2010-09-07 Micron Technology, Inc. Electron induced chemical etching/deposition for enhanced detection of surface defects
US7791071B2 (en) 2006-08-14 2010-09-07 Micron Technology, Inc. Profiling solid state samples
US7807062B2 (en) 2006-07-10 2010-10-05 Micron Technology, Inc. Electron induced chemical etching and deposition for local circuit repair
US7833427B2 (en) 2006-08-14 2010-11-16 Micron Technology, Inc. Electron beam etching device and method
US7892978B2 (en) 2006-07-10 2011-02-22 Micron Technology, Inc. Electron induced chemical etching for device level diagnosis

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7662648B2 (en) * 2005-08-31 2010-02-16 Micron Technology, Inc. Integrated circuit inspection system
US20070278180A1 (en) * 2006-06-01 2007-12-06 Williamson Mark J Electron induced chemical etching for materials characterization
US8274059B2 (en) * 2009-06-22 2012-09-25 Wisconsin Alumni Research Foundation Molecule mass detection via field emission of electrons from membranes
US8207058B1 (en) * 2009-06-29 2012-06-26 Georgia Tech Research Corporation Electron beam induced deposition of interface to carbon nanotube
US20110180385A1 (en) * 2010-01-28 2011-07-28 Raytheon Company Control of Catalytic Chemical Processes
FR2971361B1 (en) 2011-02-04 2014-05-09 Soitec Silicon On Insulator SEMICONDUCTOR STRUCTURE WITH A SMOOTHED SURFACE AND METHOD OF OBTAINING SUCH A STRUCTURE
US9111728B2 (en) 2011-04-11 2015-08-18 Lam Research Corporation E-beam enhanced decoupled source for semiconductor processing
US8980046B2 (en) 2011-04-11 2015-03-17 Lam Research Corporation Semiconductor processing system with source for decoupled ion and radical control
US8900403B2 (en) 2011-05-10 2014-12-02 Lam Research Corporation Semiconductor processing system having multiple decoupled plasma sources
US8900402B2 (en) 2011-05-10 2014-12-02 Lam Research Corporation Semiconductor processing system having multiple decoupled plasma sources
US9177756B2 (en) 2011-04-11 2015-11-03 Lam Research Corporation E-beam enhanced decoupled source for semiconductor processing
WO2012142038A1 (en) * 2011-04-11 2012-10-18 Lam Research Corporation E-beam enhanced decoupled source for semiconductor processing
EP3821457A4 (en) * 2018-07-09 2022-04-13 Lam Research Corporation Electron excitation atomic layer etch

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6661005B1 (en) * 1998-11-09 2003-12-09 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Method of examining and/or modifying surface structures of a sample
US20040113097A1 (en) * 2002-12-17 2004-06-17 International Business Machines Corporation Apparatus and techniques for scanning electron beam based chip repair

Family Cites Families (123)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4260649A (en) 1979-05-07 1981-04-07 The Perkin-Elmer Corporation Laser induced dissociative chemical gas phase processing of workpieces
US4579750A (en) 1980-07-07 1986-04-01 Massachusetts Institute Of Technology Laser heated CVD process
US4543486A (en) 1983-05-20 1985-09-24 The United States Of America As Represented By The Secretary Of The Army Method and apparatus for using a photoacoustic effect for controlling various processes utilizing laser and ion beams, and the like
US4581248A (en) 1984-03-07 1986-04-08 Roche Gregory A Apparatus and method for laser-induced chemical vapor deposition
US4624736A (en) 1984-07-24 1986-11-25 The United States Of America As Represented By The United States Department Of Energy Laser/plasma chemical processing of substrates
US4670064A (en) 1985-04-10 1987-06-02 Eaton Corporation Generating high purity ions by non-thermal excimer laser processing
US4685976A (en) 1985-04-10 1987-08-11 Eaton Corporation Multi-layer semiconductor processing with scavenging between layers by excimer laser
US4668304A (en) 1985-04-10 1987-05-26 Eaton Corporation Dopant gettering semiconductor processing by excimer laser
US4670063A (en) 1985-04-10 1987-06-02 Eaton Corporation Semiconductor processing technique with differentially fluxed radiation at incremental thicknesses
US4655849A (en) 1985-05-22 1987-04-07 Eaton Corporation Semiconductor processing technique for generating dangling surface bonds and growing epitaxial layer by excimer laser
US4694777A (en) 1985-07-03 1987-09-22 Roche Gregory A Apparatus for, and methods of, depositing a substance on a substrate
JP2566914B2 (en) 1985-12-28 1996-12-25 キヤノン株式会社 Thin film semiconductor device and method of forming the same
US4832781A (en) 1988-01-07 1989-05-23 Varian Associates, Inc. Methods and apparatus for thermal transfer with a semiconductor wafer in vacuum
DE3804805A1 (en) 1988-02-16 1989-08-24 Max Planck Gesellschaft CVD METHOD FOR DEPOSITING A LAYER ON A THIN-LAYER METAL STRUCTURE
US4938996A (en) 1988-04-12 1990-07-03 Ziv Alan R Via filling by selective laser chemical vapor deposition
US4933206A (en) 1988-08-17 1990-06-12 Intel Corporation UV-vis characteristic writing in silicon nitride and oxynitride films
US4940505A (en) 1988-12-02 1990-07-10 Eaton Corporation Method for growing single crystalline silicon with intermediate bonding agent and combined thermal and photolytic activation
US5032435A (en) 1989-03-27 1991-07-16 The United States Of America As Represented By The United States Department Of Energy UV absorption control of thin film growth
US4980198A (en) 1989-11-30 1990-12-25 Syracuse University Laser CVD and plasma CVD of CrO2 films and cobalt doped CrO2 films using organometallic precursors
US5102830A (en) 1990-07-24 1992-04-07 Micron Technology, Inc. Integrated circuit fabrication process for preventing overprocessing during a laser scan
US5047649A (en) * 1990-10-09 1991-09-10 International Business Machines Corporation Method and apparatus for writing or etching narrow linewidth patterns on insulating materials
US5140164A (en) 1991-01-14 1992-08-18 Schlumberger Technologies, Inc. Ic modification with focused ion beam system
US5759923A (en) 1991-02-25 1998-06-02 Symetrix Corporation Method and apparatus for fabricating silicon dioxide and silicon glass layers in integrated circuits
US5648114A (en) 1991-12-13 1997-07-15 Symetrix Corporation Chemical vapor deposition process for fabricating layered superlattice materials
US5155053A (en) 1991-05-28 1992-10-13 Hughes Aircraft Company Method of forming t-gate structure on microelectronic device substrate
US5326981A (en) 1991-09-27 1994-07-05 Kawasaki Jukogyo Kabushiki Kaisha Electron beam excited ion irradiation apparatus
US5807650A (en) 1992-03-24 1998-09-15 Kabushiki Kaisha Toshiba Photo mask and apparatus for repairing photo mask
US5985693A (en) 1994-09-30 1999-11-16 Elm Technology Corporation High density three-dimensional IC interconnection
JPH0624896A (en) 1992-07-09 1994-02-01 Sumitomo Electric Ind Ltd Diamond synthesizing method
AU4689293A (en) 1992-07-15 1994-02-14 On-Line Technologies, Inc. Method and apparatus for monitoring layer processing
US6194325B1 (en) 1992-09-08 2001-02-27 Applied Materials Inc. Oxide etch process with high selectivity to nitride suitable for use on surfaces of uneven topography
DE69315177D1 (en) 1992-09-14 1997-12-18 Toshiba Kawasaki Kk Electronic component with artificial superlattice
US5429730A (en) 1992-11-02 1995-07-04 Kabushiki Kaisha Toshiba Method of repairing defect of structure
JP3255469B2 (en) 1992-11-30 2002-02-12 三菱電機株式会社 Laser thin film forming equipment
US5472935A (en) 1992-12-01 1995-12-05 Yandrofski; Robert M. Tuneable microwave devices incorporating high temperature superconducting and ferroelectric films
US5825035A (en) 1993-03-10 1998-10-20 Hitachi, Ltd. Processing method and apparatus using focused ion beam generating means
US5733609A (en) 1993-06-01 1998-03-31 Wang; Liang Ceramic coatings synthesized by chemical reactions energized by laser plasmas
EP0665577A1 (en) 1994-01-28 1995-08-02 Applied Materials, Inc. Method and apparatus for monitoring the deposition rate of films during physical vapour deposition
US5508368A (en) 1994-03-03 1996-04-16 Diamonex, Incorporated Ion beam process for deposition of highly abrasion-resistant coatings
US5438019A (en) 1994-07-11 1995-08-01 Micron Semiconductor, Inc. Large area thin film growing method
US5641545A (en) 1995-06-07 1997-06-24 Micron Technology, Inc. Method to deposit highly conformal CVD films
EP0756318A1 (en) 1995-07-24 1997-01-29 International Business Machines Corporation Method for real-time in-situ monitoring of a trench formation process
JP3766991B2 (en) 1995-10-20 2006-04-19 株式会社日立製作所 End point detection method and apparatus for plasma processing, and semiconductor manufacturing method and apparatus using the detection method and apparatus
JP3523405B2 (en) 1996-01-26 2004-04-26 株式会社日立製作所 Pattern forming method by charged beam processing and charged beam processing apparatus
US5892886A (en) 1996-02-02 1999-04-06 Micron Technology, Inc. Apparatus for uniform gas and radiant heat dispersion for solid state fabrication processes
US5639342A (en) 1996-03-15 1997-06-17 Taiwan Semiconductor Manufacturing Company Ltd. Method of monitoring and controlling a silicon nitride etch step
DE29607076U1 (en) 1996-04-18 1996-08-29 Sick Optik Elektronik Erwin Opto-electronic sensor for the detection of transparent objects
TW487983B (en) 1996-04-26 2002-05-21 Hitachi Ltd Manufacturing method for semiconductor device
GB9618897D0 (en) 1996-09-10 1996-10-23 Bio Rad Micromeasurements Ltd Micro defects in silicon wafers
US5834331A (en) 1996-10-17 1998-11-10 Northwestern University Method for making III-Nitride laser and detection device
US6183817B1 (en) 1997-05-29 2001-02-06 Michael S. Gersonde Method and apparatus for direct write fabrication of nanostructures
JP2868120B2 (en) 1997-06-11 1999-03-10 川崎重工業株式会社 Electron beam excited plasma generator
US6051287A (en) 1997-06-20 2000-04-18 Micron Technology, Inc. Laser desorption of CVD precursor species
JP3441955B2 (en) 1998-02-23 2003-09-02 株式会社日立製作所 Projection type charged particle microscope and substrate inspection system
JP3336975B2 (en) * 1998-03-27 2002-10-21 日本電気株式会社 Substrate processing method
US6218288B1 (en) 1998-05-11 2001-04-17 Micron Technology, Inc. Multiple step methods for forming conformal layers
US6187492B1 (en) 1998-07-07 2001-02-13 Ricoh Company, Ltd. Electrophotographic photoconductor and method of producing aromatic polycarbonate resin for use in the photoconductor
US6113751A (en) 1998-08-06 2000-09-05 Lockheed Martin Corporation Electromagnetic beam assisted deposition method for depositing a material on an irradiated substrate
EP1006568A1 (en) 1998-12-02 2000-06-07 STMicroelectronics S.r.l. Enhancing protection of dielectrics from plasma induced damages
US6499425B1 (en) 1999-01-22 2002-12-31 Micron Technology, Inc. Quasi-remote plasma processing method and apparatus
US6214183B1 (en) 1999-01-30 2001-04-10 Advanced Ion Technology, Inc. Combined ion-source and target-sputtering magnetron and a method for sputtering conductive and nonconductive materials
US6291341B1 (en) 1999-02-12 2001-09-18 Micron Technology, Inc. Method for PECVD deposition of selected material films
US6583413B1 (en) 1999-09-01 2003-06-24 Hitachi, Ltd. Method of inspecting a circuit pattern and inspecting instrument
EP1094266B1 (en) * 1999-10-21 2004-09-22 European Organisation for Nuclear Research CERN Diaphragm system
AU2001249212A1 (en) 2000-03-15 2001-09-24 Tufts University Controlling surface chemistry on solid substrates
US6656539B1 (en) 2000-11-13 2003-12-02 International Business Machines Corporation Method and apparatus for performing laser CVD
US6838121B2 (en) 2001-05-10 2005-01-04 Zyvex Corporation System and method for controlling deposition parameters in producing a surface to tune the surface's plasmon resonance wavelength
KR100425449B1 (en) 2001-05-18 2004-03-30 삼성전자주식회사 Method and apparatus for forming multiple layers of thin film by using photolysis chemical vapor deposition
US6811615B2 (en) 2001-05-24 2004-11-02 Applied Materials, Inc. Photo-assisted chemical cleaning and laser ablation cleaning of process chamber
KR100421218B1 (en) * 2001-06-04 2004-03-02 삼성전자주식회사 Apparatus of electron emission lithography by using selectively grown carbon nanotube and lithography method thereof
US20030000921A1 (en) 2001-06-29 2003-01-02 Ted Liang Mask repair with electron beam-induced chemical etching
WO2003012551A1 (en) 2001-07-27 2003-02-13 Fei Company Electron beam processing
US6573199B2 (en) 2001-08-30 2003-06-03 Micron Technology, Inc. Methods of treating dielectric materials with oxygen, and methods of forming capacitor constructions
JP2003114875A (en) * 2001-10-05 2003-04-18 Fujitsu Ltd Server machine, client machine, server program, client program, server program storage medium, and client program storage medium
JP2003161957A (en) 2001-11-26 2003-06-06 Toshiba Corp Liquid crystal display device and method for manufacturing the same
US7001481B2 (en) 2001-11-30 2006-02-21 Micron Technology, Inc. Method and system providing high flux of point of use activated reactive species for semiconductor processing
EP1318394B1 (en) 2001-12-06 2008-02-13 Interuniversitair Microelektronica Centrum Vzw Method and apparatus for local surface analysis
AU2003209148A1 (en) 2002-01-29 2003-09-02 Tokyo Electron Limited Method and apparatus for process monitoring and control
US6730367B2 (en) 2002-03-05 2004-05-04 Micron Technology, Inc. Atomic layer deposition method with point of use generated reactive gas species
US6869479B2 (en) 2002-03-29 2005-03-22 Altair Center, Llc Method of laser-assisted fabrication of optoelectronic and photonic components
US6845734B2 (en) 2002-04-11 2005-01-25 Micron Technology, Inc. Deposition apparatuses configured for utilizing phased microwave radiation
US6764856B2 (en) 2002-05-02 2004-07-20 Bradley Jay Holmes Semen detection system and method
EP1363164B1 (en) 2002-05-16 2015-04-29 NaWoTec GmbH Procedure for etching of materials at the surface with focussed electron beam induced chemical reactions at said surface
US6838114B2 (en) 2002-05-24 2005-01-04 Micron Technology, Inc. Methods for controlling gas pulsing in processes for depositing materials onto micro-device workpieces
US6797337B2 (en) 2002-08-19 2004-09-28 Micron Technology, Inc. Method for delivering precursors
US7012266B2 (en) * 2002-08-23 2006-03-14 Samsung Electronics Co., Ltd. MEMS-based two-dimensional e-beam nano lithography device and method for making the same
US7504182B2 (en) 2002-09-18 2009-03-17 Fei Company Photolithography mask repair
EP1411538B1 (en) 2002-10-16 2011-01-26 Carl Zeiss SMS GmbH Method for focussed electron beam induced etching
US7001529B2 (en) 2002-10-18 2006-02-21 Lam Research Corporation Pre-endpoint techniques in photoresist etching
US7122125B2 (en) 2002-11-04 2006-10-17 Applied Materials, Inc. Controlled polymerization on plasma reactor wall
US7018938B2 (en) 2002-11-14 2006-03-28 Intel Corporation Controlled use of photochemically susceptible chemistries for etching, cleaning and surface conditioning
US6891169B2 (en) * 2003-01-21 2005-05-10 International Business Machines Corporation Electron beam array write head system and method
JP2004257845A (en) 2003-02-26 2004-09-16 Renesas Technology Corp Classification method of defect
US7083903B2 (en) 2003-06-17 2006-08-01 Lam Research Corporation Methods of etching photoresist on substrates
US6911832B2 (en) 2003-07-16 2005-06-28 Texas Instruments Incorporated Focused ion beam endpoint detection using charge pulse detection electronics
US7786403B2 (en) 2003-08-28 2010-08-31 Nawo Tec Gmbh Method for high-resolution processing of thin layers using electron beams
US7311947B2 (en) 2003-10-10 2007-12-25 Micron Technology, Inc. Laser assisted material deposition
JP2005208120A (en) 2004-01-20 2005-08-04 Ebara Corp Sample correcting apparatus, sample correction method, and device manufacturing method using the method
US7661387B2 (en) 2004-01-30 2010-02-16 Dunfries Investment Limited Dual laser coating apparatus and process
JP4550457B2 (en) 2004-03-26 2010-09-22 ルネサスエレクトロニクス株式会社 Semiconductor device and manufacturing method thereof
US7075093B2 (en) * 2004-05-12 2006-07-11 Gorski Richard M Parallel multi-electron beam lithography for IC fabrication with precise X-Y translation
JP4761740B2 (en) 2004-08-31 2011-08-31 東京エレクトロン株式会社 Method for forming a microlens
US20060134920A1 (en) 2004-12-17 2006-06-22 Ted Liang Passivating metal etch structures
US20060147814A1 (en) 2005-01-03 2006-07-06 Ted Liang Methods for repairing an alternating phase-shift mask
US7235478B2 (en) 2005-01-12 2007-06-26 Intel Corporation Polymer spacer formation
US20060183055A1 (en) 2005-02-15 2006-08-17 O'neill Mark L Method for defining a feature on a substrate
US7262555B2 (en) * 2005-03-17 2007-08-28 Micron Technology, Inc. Method and system for discretely controllable plasma processing
US7670956B2 (en) 2005-04-08 2010-03-02 Fei Company Beam-induced etching
US7662648B2 (en) * 2005-08-31 2010-02-16 Micron Technology, Inc. Integrated circuit inspection system
US8052885B2 (en) 2006-01-12 2011-11-08 Kla-Tencor Corporation Structural modification using electron beam activated chemical etch
WO2007100933A2 (en) 2006-01-12 2007-09-07 Kla Tencor Technologies Corporation Etch selectivity enhancement, deposition quality evaluation, structural modification and three-dimensional imaging using electron beam activated chemical etch
US7879730B2 (en) 2006-01-12 2011-02-01 Kla-Tencor Technologies Corporation Etch selectivity enhancement in electron beam activated chemical etch
US7531102B2 (en) 2006-03-31 2009-05-12 Intel Corporation Simultaneous selective polymer deposition and etch pitch doubling for sub 50nm line/space patterning
US7550747B2 (en) * 2006-04-03 2009-06-23 Blaise Laurent Mouttet Parallel electron beam lithography stamp (PEBLS)
US7425715B2 (en) * 2006-05-05 2008-09-16 Blaise Laurent Mouttet Digital parallel electron beam lithography stamp
US20070278180A1 (en) 2006-06-01 2007-12-06 Williamson Mark J Electron induced chemical etching for materials characterization
US7892978B2 (en) 2006-07-10 2011-02-22 Micron Technology, Inc. Electron induced chemical etching for device level diagnosis
US7807062B2 (en) 2006-07-10 2010-10-05 Micron Technology, Inc. Electron induced chemical etching and deposition for local circuit repair
US7791055B2 (en) 2006-07-10 2010-09-07 Micron Technology, Inc. Electron induced chemical etching/deposition for enhanced detection of surface defects
US7718080B2 (en) 2006-08-14 2010-05-18 Micron Technology, Inc. Electronic beam processing device and method using carbon nanotube emitter
US7569484B2 (en) 2006-08-14 2009-08-04 Micron Technology, Inc. Plasma and electron beam etching device and method
US7833427B2 (en) 2006-08-14 2010-11-16 Micron Technology, Inc. Electron beam etching device and method
US7791071B2 (en) 2006-08-14 2010-09-07 Micron Technology, Inc. Profiling solid state samples

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6661005B1 (en) * 1998-11-09 2003-12-09 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Method of examining and/or modifying surface structures of a sample
US20040113097A1 (en) * 2002-12-17 2004-06-17 International Business Machines Corporation Apparatus and techniques for scanning electron beam based chip repair

Non-Patent Citations (3)

* Cited by examiner, † Cited by third party
Title
DE JONGE N ET AL: "High brightness electron beam from a multi-walled carbon nanotube", NATURE, vol. 420, 28 November 2002 (2002-11-28), pages 393 - 395, XP002477285 *
RANDOLPH S ET AL: "Focused electron-beam-induced etching of silicon dioxide", JOURNAL OF APPLIED PHYSICS, AMERICAN INSTITUTE OF PHYSICS. NEW YORK, US, vol. 98, no. 3, 3 August 2005 (2005-08-03), pages 34902 - 34902, XP012078317, ISSN: 0021-8979 *
TEO K B K ET AL: "Fabrication and electrical characteristics of carbon nanotube-based microcathodes for use in a parallel electron-beam lithography system", JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B: MICROELECTRONICS PROCESSING AND PHENOMENA, AMERICAN VACUUM SOCIETY, NEW YORK, NY, US, vol. 21, no. 2, March 2003 (2003-03-01), pages 693 - 697, XP012009827, ISSN: 0734-211X *

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7791055B2 (en) 2006-07-10 2010-09-07 Micron Technology, Inc. Electron induced chemical etching/deposition for enhanced detection of surface defects
US7807062B2 (en) 2006-07-10 2010-10-05 Micron Technology, Inc. Electron induced chemical etching and deposition for local circuit repair
US7892978B2 (en) 2006-07-10 2011-02-22 Micron Technology, Inc. Electron induced chemical etching for device level diagnosis
US8026501B2 (en) 2006-07-10 2011-09-27 Micron Technology, Inc. Method of removing or deposting material on a surface including material selected to decorate a particle on the surface for imaging
US8809074B2 (en) 2006-07-10 2014-08-19 Micron Technology, Inc. Method for integrated circuit diagnosis
US8821682B2 (en) 2006-07-10 2014-09-02 Micron Technology, Inc. Electron induced chemical etching and deposition for local circuit repair
US7718080B2 (en) 2006-08-14 2010-05-18 Micron Technology, Inc. Electronic beam processing device and method using carbon nanotube emitter
US7791071B2 (en) 2006-08-14 2010-09-07 Micron Technology, Inc. Profiling solid state samples
US7833427B2 (en) 2006-08-14 2010-11-16 Micron Technology, Inc. Electron beam etching device and method
US8389415B2 (en) 2006-08-14 2013-03-05 Micron Technology, Inc. Profiling solid state samples
US8414787B2 (en) 2006-08-14 2013-04-09 Micron Technology, Inc. Electron beam processing device and method using carbon nanotube emitter
US8609542B2 (en) 2006-08-14 2013-12-17 Micron Technology, Inc. Profiling solid state samples

Also Published As

Publication number Publication date
US8414787B2 (en) 2013-04-09
US20080038894A1 (en) 2008-02-14
WO2008021363A2 (en) 2008-02-21
TWI376726B (en) 2012-11-11
TW200830366A (en) 2008-07-16
US7718080B2 (en) 2010-05-18
US20100221922A1 (en) 2010-09-02

Similar Documents

Publication Publication Date Title
WO2008021363A3 (en) Electron beam processing method using carbon nanotube emitter
KR101886742B1 (en) Substrate processing method
WO2009117624A3 (en) Mono-energetic neutral beam activated chemical processing system and method of using
JP5190215B2 (en) Cleaning method of turbo molecular pump
TW200713413A (en) Method and apparatus for isolative substrate edge area processing
WO2007038514A3 (en) Apparatus and method for substrate edge etching
WO2009117565A3 (en) Method and apparatus of a substrate etching system and process
TW200614365A (en) Method for providing uniform removal of organic material
WO2006038990A3 (en) Method for treating a substrate
TW200741027A (en) Method and apparatus for growing plasma atomic layer
WO2007019467A3 (en) Semiconductor substrate process using a low temperature-deposited carbon-containing hard mask
WO2007048963A3 (en) Substrate processing method
TW200705551A (en) Method for forming a high density dielectric film by chemical vapor deposition
WO2012018375A3 (en) Plasma mediated ashing processes
JP2013503482A (en) Silicon selective dry etching of carbon-containing films
EP2006249A3 (en) High resolution plasma etch
RU2005131015A (en) METHOD OF PLASMA ETCHING USING PERIODIC MODULATION OF GAS-REAGENT REAGENTS
WO2005114715A8 (en) Method for cleaning substrate surface
WO2007111893A3 (en) Plasma etch and photoresist strip process with intervening chamber de-fluorination and wafer de-fluorination steps
TWI766866B (en) Etching method
EP0871211A3 (en) Plasma treatment method and manufacturing method of semiconductor device
JP4972621B2 (en) Membrane coating system and its isolation device
KR102461750B1 (en) Method of processing target object
JP2006528418A5 (en)
JP2021184505A (en) Substrate processing system and substrate processing method

Legal Events

Date Code Title Description
NENP Non-entry into the national phase

Ref country code: DE

NENP Non-entry into the national phase

Ref country code: RU

121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 07836814

Country of ref document: EP

Kind code of ref document: A2

122 Ep: pct application non-entry in european phase

Ref document number: 07836814

Country of ref document: EP

Kind code of ref document: A2