WO2008086282A2 - Methods and systems for using electrical information for a device being fabricated on a wafer to perform one or more defect-related functions - Google Patents

Methods and systems for using electrical information for a device being fabricated on a wafer to perform one or more defect-related functions Download PDF

Info

Publication number
WO2008086282A2
WO2008086282A2 PCT/US2008/050397 US2008050397W WO2008086282A2 WO 2008086282 A2 WO2008086282 A2 WO 2008086282A2 US 2008050397 W US2008050397 W US 2008050397W WO 2008086282 A2 WO2008086282 A2 WO 2008086282A2
Authority
WO
WIPO (PCT)
Prior art keywords
defect
wafer
related functions
defects
electrical
Prior art date
Application number
PCT/US2008/050397
Other languages
French (fr)
Other versions
WO2008086282A3 (en
Inventor
Allen Park
Peter Rose
Ellis Chang
Brian Duffy
Mark Mccord
Gordon Abbott
Original Assignee
Kla-Tencor Corporation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Kla-Tencor Corporation filed Critical Kla-Tencor Corporation
Publication of WO2008086282A2 publication Critical patent/WO2008086282A2/en
Publication of WO2008086282A3 publication Critical patent/WO2008086282A3/en

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/0002Inspection of images, e.g. flaw detection
    • G06T7/0004Industrial image inspection
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/8851Scan or image signal processing specially adapted therefor, e.g. for scan signal adjustment, for detecting different kinds of defects, for compensating for structures, markings, edges
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/2851Testing of integrated circuits [IC]
    • G01R31/2894Aspects of quality control [QC]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/30Subject of image; Context of image processing
    • G06T2207/30108Industrial image inspection
    • G06T2207/30148Semiconductor; IC; Wafer

Definitions

  • TITLE METHODS AND SYSTEMS FOR USING ELECTRICAL INFORMATION FOR A DEVICE BEING FABRICATED ON A WAFER TO PERFORM ONE OR MORE DEFECT-RELATED FUNCTIONS
  • the present invention generally relates to methods and systems for using electrical information for a device being fabricated on a wafer to perform one or more defect- related functions. Certain embodiments relate to a computer-implemented method that includes using critical path information, electrical information, electrical design information, or some combination thereof for a device being fabricated on a wafer to perform one or more defect-related functions.
  • An integrated circuit (IC) design may be developed using a method or system such as electronic design automation (EDA), computer aided design (CAD), and other IC design software. Such methods and systems may be used to generate a circuit pattern database from the IC design.
  • the circuit pattern database includes data representing a plurality of layouts for various layers of the IC. Data in the circuit pattern database may be used to determine layouts for a plurality of reticles.
  • a layout of a reticle generally includes a plurality of polygons that define features in a pattern on the reticle. Each reticle is used to fabricate one of the various layers of the IC.
  • the layers of the IC may include, for example, a junction pattern in a semiconductor substrate, a gate dielectric pattern, a gate electrode pattern, a contact pattern in an interlevel dielectric, and an interconnect pattern on a metallization layer.
  • NYCDMS/1072587 1 A semiconductor device design is verified by different procedures before production of ICs. For example, the semiconductor device design is checked by software simulation to verify that all features will be printed correctly after lithography in manufacturing. Such checking commonly includes steps such as design rule checking (DRC), optical rule checking (ORC) 1 and more sophisticated software-based verification approaches that include process simulation calibrated to a specific fab and process. The output of the physical design verification steps can be used to identify a potentially large number of critical points, sometimes referred to as "hot spots," in the design.
  • DRC design rule checking
  • ORC optical rule checking
  • Fabricating semiconductor devices such as logic and memory devices typically includes processing a substrate such as a semiconductor wafer using a large number of semiconductor fabrication processes to form various features and multiple levels of the semiconductor devices.
  • lithography is a semiconductor fabrication process that involves transferring a pattern from a reticle to a resist arranged on a semiconductor wafer. Additional examples of semiconductor fabrication processes include, but are not limited to, chemical-mechanical polishing (CMP), etch, deposition, and ion implantation.
  • CMP chemical-mechanical polishing
  • etch etch
  • deposition deposition
  • ion implantation ion implantation
  • Inspection processes are used at various steps during a semiconductor manufacturing process to detect defects on wafers to promote higher yield in the manufacturing process and thus higher profits. Inspection has always been an important part of fabricating semiconductor devices such as ICs. However, as the dimensions of semiconductor devices decrease, inspection becomes even more important to the successful manufacture of acceptable semiconductor devices because smaller defects can cause the devices to fail. For instance, as the dimensions of semiconductor devices decrease, detection of defects of decreasing size has become necessary since even relatively small defects may cause unwanted aberrations in the semiconductor devices.
  • determining the cause of defects on wafers such that the cause of the defects can be corrected to thereby reduce the number of defects on other wafers.
  • determining the cause of defects involves identifying the defect type and other attributes of the defects such as size, shape,
  • defect review is often used to determine more information about individual defects than that which can be determined from inspection results. For instance, a defect review tool may be used to revisit defects detected on a wafer and to examine the defects further in some manner either automatically or manually.
  • Defect review typically involves generating additional information about defects at a higher resolution using either a high magnification optical system or a scanning electron microscope (SEM).
  • SEM scanning electron microscope
  • the higher resolution data for the defects generated by defect review is more suitable for determining attributes of the defects such as profile, roughness, more accurate size information, etc.
  • Defect analysis may also be performed using a system such as an electron dispersive x-ray spectroscopy (EDS) system.
  • EDS electron dispersive x-ray spectroscopy
  • Such defect analysis may be performed to determine information such as composition of the defects. Attributes of the defects determined by inspection, review, analysis, or some combination thereof can be used to identify the type of the defect (i.e., defect classification) and possibly a root cause of the defects. This information can then be used to monitor and alter one or more parameters of one or more semiconductor fabrication processes to reduce or eliminate the defects.
  • design rules shrink As design rules shrink, however, semiconductor manufacturing processes may be operating closer to the limitations on the performance capability of the processes. In addition, smaller defects can have an impact on the electrical parameters of the device as the design rules shrink, which drives more sensitive inspections. Therefore, as design rules shrink, the population of potentially yield relevant defects detected by inspection grows dramatically, and the population of nuisance defects detected by inspection also increases dramatically. Therefore, more and more defects may be detected on wafers, and correcting the processes to eliminate all of the defects may be difficult and expensive. As such, determining which of the defects actually have an effect on the electrical parameters of the devices and the yield may allow process control methods to be focused on those defects while largely ignoring others. Furthermore, at smaller design rules, process
  • NYCDMS/10725S7 1 induced failures may. in some cases, tend to be systematic. That is, process induced failures tend to fail at certain design patterns often repeated many times within the design. Elimination of spatially systematic, electrically relevant defects is important because eliminating such defects can have a significant overall impact on yield. Whether or not defects will affect device parameters and yield often cannot be determined from the inspection, review, and analysis processes described above since these processes may not be able to determine the position of the defect with respect to the electrical design.
  • a SEM review system may be used to determine more accurate coordinates of defect locations for a sample of defects, and the defect coordinates reported by the SEM review system may be used to determine locations of defects in the electrical design.
  • Other methods involve aligning inspection care areas (e.g.. the areas of the device pattern formed on the wafer in which inspection will be performed) to the physical location of the pattern printed on the wafer.
  • the care areas can be aligned to the pattern printed on the wafer with an accuracy of no better than about 2 ⁇ m due to system errors and imperfections.
  • BF bright field
  • DFM design-for-manufacturability
  • the system may be overwhelmed by millions of events in non-critical areas such as CMP fill regions. Detecting such nuisance defects is disadvantageous for a number of reasons. For example, these nuisance events need to be filtered out of the inspection results by post-processing of the inspection data. In addition, nuisance event detection limits the ultimate achievable sensitivity of the inspection system for DFM applications.
  • a high rate of nuisance defect data may also overload the run time data processing capacity of the inspection system thereby reducing throughput and/or causing the loss of data.
  • NYCDMS/1072587 1 Accordingly, it would be advantageous to develop methods and systems for using electrical information for a device being fabricated on a wafer to perform one or more defect-related functions that do not have one or more of the disadvantages described above.
  • One embodiment relates to a computer-implemented method that includes using electrical information for a device being fabricated on a wafer to perform one or more defect-related functions.
  • the one or more defect-related functions include one or more post-mask, defect-related functions.
  • the electrical information includes critical path information
  • the one or more defect-related functions include determining performance of the device using defect inspection data acquired for the wafer and the electrical information.
  • the one or more defect-related functions include using the electrical information and defect inspection data acquired for the wafer in real time to determine performance of the device.
  • the one or more defect-related functions include determining potential yield impact of defects on the device using defect inspection data acquired for the wafer and the electrical information. In another embodiment, the one or more defect- related functions include using the electrical information and defect inspection data acquired for the wafer in real time to determine potential yield impact of defects on the device. In an additional embodiment, the one or more defect-related functions include determining electrical relevancy of defects detected on the wafer.
  • the one or more defect-related functions include determining timing delay of the device due to defects detected on the wafer using defect inspection data acquired for the wafer and the electrical information. In another embodiment, the one or more defect-related functions include using the electrical
  • the one or more defect-related functions include determining power leakage of the device due to defects detected on the wafer using defect inspection data acquired for the wafer and the electrical information. In another embodiment, the one or more defect-related functions include using the electrical information and defect inspection data acquired for the wafer in real time to determine power leakage of the device due to defects detected on the wafer, In an additional embodiment, the one or more defect-related functions include determining resistance-capacitance variation of the device due to defects detected on the wafer using the electrical information and defect inspection data acquired for the wafer. In a further embodiment, the one or more defect- related functions include using the electrical information and defect inspection data acquired for the wafer in real time to determine resistance-capacitance variation of the device due to defects detected on the wafer.
  • the electrical information includes critical path information
  • the critical path information is acquired from netlist information for the device.
  • the one or more defect-related functions include identifying critical defects on the wafer.
  • the one or more defect-related functions include separating defects detected in areas of the wafer corresponding to electrically sensitive areas of the device from other defects detected on the wafer.
  • the one or more defect-related functions include identifying one or more inspection areas on the wafer. In another embodiment, the one or more defect-related functions include identifying critical metrology sites on the wafer. In an additional embodiment, the one or more defect-related functions include separating metrology variations measured in areas of the wafer corresponding to electrically sensitive areas of the device from other measured metrology variations. In a further embodiment, the one or more defect-related functions include determining electrical relevancy of metrology data acquired for the w r afer.
  • the electrical information includes critical path information.
  • the critical path information is generated by an electronic design
  • the one or more defect-related functions include defect sampling in which only defects on the wafer located on or near critical paths in the device are selected for review.
  • the one or more defect-related functions include overlaying the critical path information with defect inspection data acquired for the wafer and using results of the overlaying step to identify critical defects on the wafer for review.
  • the method includes using optimized design data for the device to describe defects detected on the wafer by error budget. In another embodiment, the method includes evaluating optimization of design data for the device by searching the design data for patterns of interest. The patterns of interest include patterns corrected and not corrected by the optimization. In addition, the method includes comparing a failure rate of corrected and non-corrected patterns in the design data for the device.
  • the one or more defect-related functions include creating a systematic pareto by critical and non-critical areas of the device to identify critical and non-critical systematic defects on the wafer.
  • the electrical information includes critical path information.
  • the one or more defect-related functions include separating systematic defects detected on the wafer located in critical paths of the device from systematic defects detected on the wafer located in non-critical paths of the device.
  • the one or more defect-related functions include separating random defects detected on the wafer located in critical paths of the device from random defects detected on the wafer located in non- critical paths of the device.
  • the one or more defect-related functions are performed for defects detected on the wafer by bright field inspection.
  • the electrical information includes critical path information
  • the one or more defect- related functions include using the critical path information to setup a metrology process for the wafer such that changes in critical dimensions of features of the device on the wafer are measured and can be correlated to parametric performance of the device.
  • the one or more defect-related functions include inspection of the wafer. In another embodiment, the one or more defect-related functions include
  • the one or more defect-related functions include metrology of the wafer.
  • the electrical information includes netlist data. In another embodiment, the electrical information includes netlist data provided to an inspection system used to inspect the wafer, In an additional embodiment, the electrical information includes electrical circuit information.
  • the one or more defect-related functions include targeted electron beam-based inspection of the wafer. In another embodiment, the one or more defect-related functions include targeted binning of defects detected by electron beam- based inspection of the wafer.
  • the one or more defect-related functions include automatic care area generation for inspection of the wafer. In another embodiment, the one or more defect-related functions include automatic setup of inspection care areas for inspection of the wafer based on circuit electrical function of the device. In an additional embodiment, the one or more defect-related functions include binning defects detected on the wafer based on electrical activity.
  • the one or more defect-related functions include binning defects detected on the wafer based on electrical environment of the defects. In another embodiment, the one or more defect-related functions include binning defects detected on the wafer based on connectivity of features of the device such that defects having different connectivity are binned into different groups of defects. In an additional embodiment, the one or more defect-related functions include binning defects detected on the wafer based on connectivity of features of the device such that nuisance defects are binned into a group different than one or more groups of non- nuisancesance defects. In a further embodiment, the one or more defect-related functions include determining if multiple electrically defective nodes are connected and binning the multiple electrically defective nodes as a single defect if the multiple electrically defective nodes are connected.
  • the electrical information includes critical timing paths in the device extracted from netlist data, and the one or more defect-related functions include
  • the one or more defect-related functions include using electrical connectivity information for the device to predict voltage contrast gray levels of images acquired during die-to-reference, electron beam-based inspection of the wafer and using the predicted voltage contrast gray levels to match the images to rendered images of design data for the device stored in a data structure.
  • the one or more defect-related functions include rendering images of design data for the device for die-to-reference, electron beam-based inspection of the wafer and storing the rendered images in a data structure.
  • the electrical information includes electrical design information for the device being fabricated on the wafer. In another embodiment, the electrical information includes electrical design analysis.
  • the one or more defect-related functions include assisting defect review of the wafer in real time. In another embodiment, the one or more defect-related functions include assisting defect review of the wafer by assisting in defect classification, In a further embodiment, the one or more defect-related functions include assisting defect review of the wafer by assisting sampling of defects for the defect review.
  • the one or more defect-related functions include making one or more decisions in real time during defect review of a defect location on the wafer.
  • the defect location is determined based on inspection of a reticle used to print a portion of the device on the wafer. In another such embodiment, the defect location is determined based on design analysis of the device performed to determine critical hot spots for defect detection or monitoring.
  • the one or more defect-related functions include determining, in real time during defect review of a defect location on the wafer, if a defect at the defect location is electrically relevant to the device. In another embodiment, the one or more defect-related functions include determining, in real time during defect review of a defect location on the wafer, if additional spot inspections of other parts of the device in the same electrical path as a defect at the defect location are to be performed to assist in determining electrical relevance of the defect. In an additional embodiment, the one or more defect-related functions include determining, in real time during defect
  • the one or more defect-related functions include determining, in real time during defect review of a defect location on the wafer, if additional spot inspections of other parts of the device in the same electrical path as a defect at the defect location and on layers other than a layer associated with the defect are to be performed to assist in determining electrical relevance of the defect.
  • the one or more defect-related functions include binning, in real time during defect review, reviewed defects on the wafer in a pareto chart based on the electrical information.
  • the electrical information includes analysis of the design, and the one or more defect-related functions include determining. in real time during the defect review, an electrical-based ranking of an effect on yield of one or more of the reviewed defects,
  • the one or more defect-related functions include defect classification, In another embodiment, the one or more defect-related functions include identifying electrically critical hot spots in the device. In an additional embodiment, the one or more defect-related functions include identifying electrically critical hot spots in the device using information from a tool configured to define a process window for fabrication of the device on the wafer, In one such embodiment, the electrically critical hot spots include locations at an edge of the process window for the device and critical to electrical performance of the device. In a further embodiment, the one or more defect- related functions include identifying electrically critical hot spots in the device and selecting the electrically critical hot spots for inspection, monitoring, or some combination thereof during defect review performed on the wafer.
  • the method includes generating the electrical information by processing design data for the device, and the design data includes physical layout information, three-dimensional structure information, netlist information, or some combination thereof.
  • the one or more defect-related functions include determining a classification or ranking in real time for a defect on the wafer
  • the one or more defect- related functions include using the electrical information and defect information generated by a defect review tool to determine electrical effects on the device due to defects detected on the wafer and using the electrical effects to determine a classification or ranking for the defects.
  • the method includes generating the electrical information using design data for the device to determine locations of the most critical areas of the device in terms of electrical performance and storing the locations in a storage medium accessible by a review tool.
  • the one or more defect-related functions include using the locations to monitor the locations for defectivity.
  • the one or more defect-related functions include using electrical critical dimension margins for defect classification.
  • the one or more defect-related functions include real time defect classification based on electrical performance effects on the device due to defects detected on the wafer and sampling the defects for review based on electrical design data.
  • the one or more defect-related functions include determining an effect of a defect on the wafer on electrical performance of the device.
  • the one or more defect-related functions include using electrically critical hot spots in the device to perform defect monitoring. In another embodiment, the one or more defect-related functions include using the electrical information to determine additional device sampling based on a defect detected on the wafer.
  • the one or more defect-related functions include, during inspection of the wafer, classifying defects detected on the wafer into different memory- specific failure modes. In another embodiment, the one or more defect-related functions include classifying defects detected on the wafer into different memory-specific failure modes. In one such embodiment, the method includes altering a memory redundancy design optimization strategy based on results of classifying the defects. In another such
  • the method includes determining testing to be performed on the wafer based on results of classifying the defects.
  • the one or more defect-related functions include inline memory failure mode classification performed based on defect inspection data and design context surrounding defects detected on the wafer.
  • the one or more defect-related functions include inline memory failure mode classification, and the inline memory failure mode classification includes registering patterns of interest in the device, performing defect inspection, retrieving GDS clips for every defect detected by the defect inspection, classifying the defects based on GDS matching with known patterns of interest, and based on defect location and defect size, classifying the defects into different memory failure modes.
  • the one or more defect-related functions include separating bit failure from word-line or bit-line failure using patterns of interest. In another embodiment, the one or more defect-related functions include separating single bit failure from double-bit failure based on defect size. In an additional embodiment, the one or more defect-related functions include inline memory failure mode classification, and the inline memory failure mode classification includes associating a defect to a layer of the device and location of cells in the device to determine the failure mode of the defect.
  • each of the steps of each of the embodiments of the method described above may be further performed as described herein.
  • each of the embodiments of the method described above may include any other step(s) of any other method(s) described herein.
  • each of the embodiments of the method described above may be performed by any of the systems described herein.
  • Another embodiment relates to a carrier medium that includes program instructions executable on a computer system for performing a computer-implemented method.
  • the computer-implemented method includes using electrical information for a device being fabricated on a wafer to perform one or more defect-related functions.
  • the one or more defect-related functions include one or more post-mask, defect-related functions.
  • the carrier medium described above may be further configured as described
  • An additional embodiment relates to a system configured to perform a computer- implemented method.
  • the system includes a computer system configured to perform the computer-implemented method.
  • the computer-implemented method includes using electrical information for a device being fabricated on a wafer to perform one or more defect-related functions.
  • the one or more defect-related functions include one or more post-mask, defect-related functions.
  • the system described above may be further configured as described herein.
  • the steps of the computer-implemented method may be further performed as described herein.
  • the computer-implemented method performed by the computer system may include any other step(s) of any other method(s) described herein.
  • Fig. 1 is a schematic diagram illustrating one example of hot spots detected at various process window conditions.
  • Fig. 2 is a schematic diagram illustrating a side view of various embodiments of a carrier medium that includes program instructions executable on a computer system for performing one or more embodiments of a computer-implemented method described herein and a system configured to perform one or more embodiments of a computer- implemented method described herein.
  • wafer generally refers to substrates formed of a semiconductor or non-semiconductor material.
  • a semiconductor or non-semiconductor material include, but are not limited to, monocrystalline silicon, gallium arsenide, and indium phosphide. Such substrates may be commonly found and/or processed in semiconductor fabrication facilities.
  • a wafer may include one or more layers formed upon a substrate.
  • such layers may include, but are not limited to, a resist, a dielectric material, a conductive material, and a semiconductive material.
  • a resist a resist
  • a dielectric material a dielectric material
  • a conductive material a conductive material
  • a semiconductive material a material that is used in the art.
  • wafer as used herein is intended to encompass a wafer including all types of such layers.
  • One or more layers formed on a wafer may be patterned or unpatterned.
  • a wafer may include a plurality of dies, each having repeatable patterned features. Formation and processing of such layers of material may ultimately result in completed devices.
  • Many different types of devices such as integrated circuits (ICs) may be formed on a wafer, and the term wafer as used herein is intended to encompass a wafer on which any type of device known in the art is being fabricated.
  • reticle which may also be commonly referred to as a mask or a photomask.
  • reticle which may also be commonly referred to as a mask or a photomask.
  • design data generally refers to the physical design (layout) of an IC and data derived from the physical design through complex simulation
  • NYCDMS/1072587 1 or simple geometric and Boolean operations an image of a reticle acquired by a reticle inspection system and/or derivatives thereof can be used as a "proxy" or "proxies" for the design data.
  • a reticle image or a derivative thereof can serve as a substitute for the design layout in any embodiments described herein that use design data.
  • the design data may include any other design data or design data proxies described in commonly owned U.S. Patent Application Serial Nos. 11/561 ,735 by Kulkarni et al. and 1 1/561,659 by Zafar et al., both of which were filed on November 20, 2006 and which are incorporated by reference as if fully set forth herein.
  • One embodiment of a computer-implemented method includes using electrical information for a device being fabricated on a wafer to perform one or more defect- related functions.
  • the one or more defect-related functions include one or more post- mask, defect-related functions.
  • the methods described herein can be used for applications of electrical information (e.g., critical path information) in the post-mask environment.
  • critical path information is used in the pre-mask environment by using knowledge about the critical paths to optimize the design before the reticle mask is generated.
  • the electrical information includes critical path information.
  • the critical path information used in the method may be stored in any suitable data structure in any suitable format.
  • the critical path information may be acquired from a system configured to perform the method, a system other than that configured to perform the method, or a method other than the embodiments of the method described herein. In this manner, the method may use critical path information generated by another source.
  • Such critical path information may be generated or acquired in any suitable manner (e.g., using design data for the device, using layout information for the device, using electrical information for the device, using connectivity information for the device, using circuit information for the device, etc.).
  • the critical path information may be generated by the method using electrical information about the device such as design data stored in a data structure such as a database or any other information described herein.
  • the critical path information is acquired from netlist information for the device.
  • the critical path information may be acquired from the netlist
  • the critical path information may be acquired from the netlist information, the netlist is the connections between cells and does not include the electrical connections (or criticality) of the cells themselves. Thus, the netlist is insufficient to fully describe the electrical information in the design.
  • the critical path information may be acquired from the netlist information by the method described herein. Alternatively, the critical path information may be acquired from the netlist information by a system configured to perform the method, another method, or a system other than that configured to perform the method.
  • the one or more defect-related functions include determining performance of the device using defect inspection data acquired for the wafer and the electrical information.
  • the defect inspection data may be acquired for the wafer using any suitable inspection process and inspection system such as those described herein.
  • the defect inspection data may be acquired using a bright field (BF) inspection system, a dark field (DF) inspection system, an electron beam-based inspection system, or any other suitable inspection system known in the art.
  • the defect inspection data may also include any information generated during inspection of the wafer or by an inspection system used to inspect the wafer.
  • the defect inspection data may include defect locations reported by the inspection system, defect sizes reported by the inspection system, images of the defects such as patch images generated by the inspection system, or any other data, signals, or images generated by the inspection system.
  • the performance of the device may include any electrical parameters) of the device (e.g., timing, speed, drive current, signal integrity, and power distribution of the device).
  • the performance of the device may be determined based on the critical path information, one or more attributes of the defects detected on the wafer, one or more other attributes of the design of the device, or some combination thereof.
  • the one or more attributes of the defects may include, for example, dimension in the x direction (e.g.. width), dimension in the y direction (e.g., length), dimension in the z
  • N YCDMS/1072587 1 direction e.g., height
  • shape e.g., brightness
  • contrast e.g., polarity
  • texture e.g., texture
  • the one or more attributes of the defects may be determined in any suitable manner.
  • the one or more attributes of the design of the device may include, for example, redundancy, netlist, dimensions of features in the design, density of features in the design, connectivity of features in the design, or some combination thereof.
  • the one or more attributes of the design of the device may be determined in any suitable manner.
  • the performance of the device may be determined in a number of different manners. For example, the performance of the device may be determined based on any of the information described above by using the information to simulate the performance of the device. In addition, a range of performances may be simulated (e.g., to estimate the probability that a defect or a group of defects will cause electrical performance to exceed a defined limit. In another example, the performance of the device may be determined using any of the information described above with a correlation between experimental failure analysis (FA) or other electrical test results acquired for the device fabricated on other wafers and one or more attributes of defects detected on the other wafers.
  • FA experimental failure analysis
  • the one or more defect-related functions include using the electrical information and defect inspection data acquired for the wafer in real time to determine performance of the device. Determining the performance of the device in real time in this embodiment may, therefore, be performed during inspection of the wafer. For example, the performance of the device may be determined for individual defects after detection of the individual defects. In particular, after detection of an individual defect, the performance of the device may be determined for that individual defect even if the inspection process is still being performed on the wafer. In this manner, the performance of the device may be determined for defects during the inspection process before inspection of the entire wafer has been completed. Determining the performance of the device in real time may be performed during other processes in a similar manner. For example, the performance of the device may be determined in real time during a defect review process and/or a metrology process using output acquired during the
  • NYCDMS/1072587 1 process(es) for defects on the wafer in combination with the electrical information and possibly any other design and/or defect information described herein.
  • the one or more defect-related functions include determining potential yield impact of defects on the device using defect inspection data acquired for the wafer and the electrical information.
  • the defect inspection data may include any of the defect inspection data described herein and may be acquired as described herein.
  • the one or more defect-related functions include using the critical path information and defect inspection data acquired for the wafer to determine potential yield impact of defects, detected by inspection of the wafer, on the device.
  • the potential yield impact of the defects on the device may be determined or predicted based on the critical path information, one or more attributes of the defects, one or more attributes of the design of the device, any other suitable information, the performance of the device, which may be determined as described above, or some combination thereof.
  • the critical path information, the attribute(s) of the defects, and the attribute(s) of the design may include any such information described herein.
  • the critical path information such as feature size, pattern density, etc., the pattern failure caused by the defects, the locations of the defects (e.g., on top of a layer, embedded in a layer, etc.), one or more attributes of the defects such as defect size, or any other suitable information may be used to determine a likelihood that a defect will kill the device and/or alter one or more electrical parameters of the device and thereby impact yield.
  • the critical path is the path where timing of the device, for example, would suffer if there was a small pattern defect. The small defect in another part of the circuit would have a much lower impact on timing. So the defect in the critical path impacts the parametric yield (or bin/sort of how many working devices on the wafer are fact) not the typical device yield (how many defects on a wafer work).
  • the one or more defect-related functions may include using critical path information (e.g., from netlist) to identify potential yield impact of defects detected during inspection.
  • critical path information e.g., from netlist
  • understanding of yield impact is determined based on limited information such as defect attributes (e.g., size, type, etc.) but not on whether the defect attributes (e.g., size, type, etc.) but not on whether the defect attributes (e.g., size, type, etc.) but not on whether the
  • NYCDMS/1072587 1 defect is occurring on or near a critical path or a non-critical path.
  • a defect can be correlated to yield or parametric data, but generating correlations in such a manner is disadvantageous because the methods generally take a substantially long time to complete the cycle (e.g., from defect detection to FA).
  • the methods described herein can determine the potential yield impact of defects relatively quickly and, therefore, the potential yield impact may be determined in real time.
  • the potential yield impact may be determined for individual defects, individual groups of defects, or all of the defects detected in the device area on the wafer.
  • the individual groups of defects may be generated by binning defects based on design data proximate to positions of the defects in design data space, and such binning may be performed as described in the above-referenced patent applications by Kulkarni et al. and Zafar et al.
  • the defects in the device area on the wafer may be determined as described in these patent applications.
  • the positions of the defects with respect to critical and non-critical paths of the device may be determined using methods described in these patent applications (e.g., by aligning the inspection data to the design data and determining the positions of the defects in design data space based on results of the aligning step, which can be used to determine the positions of the defects with respect to critical paths, non-critical paths, and other features of the design of the device).
  • the one or more defect-related functions include using the electrical information and defect inspection data acquired for the wafer in real time to determine potential yield impact of defects on the device. Determining potential yield impact of the defects may, therefore, be performed in real time during inspection of the wafer. For example, the potential yield impact of individual defects may be determined for each defect after each defect has been detected. Determining the potential yield impact in real time may be further performed as described herein and during other process(es) as described herein.
  • the one or more defect-related functions include determining electrical relevancy of defects detected on the wafer.
  • the one or more defect-related functions may include using electrical critical path and defect inspection data to determine electrical yield relevancy. The electrical critical path and
  • NYCDMS/1072587 1 defect inspection data may include any such information and data described herein.
  • the one or more defect-related functions may include using critical path information to understand electrical relevancy of defect data. For example, defects that are detected on or near a critical path may be determined to have a higher electrical relevancy than defects that are not detected on or near a critical path.
  • the positions of the defects with respect to critical paths in the device may be determined as described above. However, not all defects that are located on or near a critical path may be highly electrically relevant. For instance, defects that are relatively small in size and/or are located near but spaced from a critical path may be determined to have a lower electrical relevancy than defects that are relative large in size and/or are located on the critical path.
  • the electrical relevancy of the defect may, therefore, describe how relevant the defect is to the electrical parameters of the device. However, this may not always be true.
  • the electrical relevancy of the defects may vary depending on where the defect happens, the properties of the defect, and the properties of the material the defect is displacing (e.g., a tiny defect in a liner may have much more impact than a large dielectric defect next to a metal line).
  • the index may be used to rank the relevancy generally, which does not have to be perfect and is better than not ranking at all.
  • the electrical parameters of the device may include any of the electrical parameters described herein.
  • the electrical relevancy may be determined using one or more rules or one or more algorithms that are based on attributes of the defects, attributes of the critical path information, possibly other information about the design of the device, or any other variables that may affect if and how the defect alters one or more electrical parameters of the device.
  • the sensitivities of the electrical parameters of the device to changes in the different variables may be used to weight the variables differently in the rules or algorithms such that the electrical relevancies are determined taking into account the importance of the different variables.
  • the rules or algorithms may be used to determine a likelihood that a defect will alter one or more electrical parameters of the device. Defects that have a higher likelihood of altering the one or more electrical parameters of the device may be assigned a higher electrical
  • the one or more defect-related functions may also include modeling electrical parameters of the device about a defect location and determining electrical relevancy of a defect at the defect location based on results of the modeling.
  • the results of the modeling step may be used to determine electrical relevancy of the defect.
  • the results of the modeling step may be used to determine how the defect alters one or more electrical parameters of the device being fabricated using the design.
  • Modeling the electrical parameters of the device in this embodiment may be performed using any appropriate method or system known in the art.
  • the electrical parameters of the device that are modeled may include any one or more electrical parameters of the device.
  • the electrical relevancy of the defect may be determined using the modeled electrical parameters and the as-designed electrical parameters. For example, the modeled electrical parameters may be compared to the as-designed electrical parameters to determine the degree to which the defect alters the electrical parameters. The electrical relevancy may then be determined based on the degree to which the defect alters the electrical parameters (e.g., a defect that alters the electrical parameters to a large degree is more electrically relevant than a defect that alters the electrical parameters to a lesser degree).
  • the electrical relevancy may be determined in a similar manner using the modeled electrical parameters and a range of suitable electrical parameters of the device. For example, the modeled electrical parameters may be compared to this range, and where the modeled electrical parameters fall within or outside of this range may be used to determine electrical relevancy. In one such example, if the modeled electrical parameters are near or outside of the acceptable range, the defect may be determined to be more electrically relevant than if the modeled parameters are inside of the acceptable range.
  • the electrical relevancy may also be determined based, at least in part, on information from a number of different sources including, but not limited to, simulation, optical inspection results, defect review results, electrical testing results, or some combination thereof.
  • the one or more defect-related functions include determining timing delay of the device due to defects detected on the wafer using defect inspection data acquired for the wafer and the electrical information.
  • the timing delay of the device due to the defects may be determined as described above (e.g., in which timing or timing delay is determined as an electrical parameter of the device).
  • the timing delay may be determined based on the critical path information, the defect inspection data, and any other information as described herein (e.g., in which timing or timing delay is determined as an electrical parameter of the device).
  • the one or more defect-related functions include using the electrical information and defect inspection data acquired for the wafer in real time to determine timing delay of the device due to defects detected on the wafer.
  • the timing delay of the device due to the defects may be determined in real time as described further herein.
  • the one or more defect-related functions include determining power leakage of the device due to defects detected on the wafer using defect inspection data acquired for the wafer and the electrical information.
  • the power leakage of the device due to the defects may be determined as described above (e.g.. in which power or power leakage is determined as an electrical parameter of the device).
  • the power leakage may be determined based on the critical path information, the defect inspection data, and any other information as described herein (e.g., in which power or power leakage is determined as an electrical parameter of the device).
  • the one or more defect-related functions include using the electrical information and defect inspection data acquired for the wafer in real time to determine power leakage of the device due to defects detected on the wafer.
  • the power leakage of the device due to the defects may be determined in real time as described further herein.
  • the one or more defect-related functions may include determining cross talk due to the defects on the wafer using defect inspection data acquired for the wafer and the electrical information. Determining the cross talk may or may not be performed in real time.
  • the one or more defect-related functions include determining RC variation of the device due to defects detected on the wafer using the
  • the RC variation of the device may be determined as described above.
  • the RC variation of the device may be determined based on the critical path information, defect inspection data, any other information, or some combination thereof as described further herein.
  • the critical path information and defect inspection data may be used in combination with information about all defects, relatively accurate sizes and locations of the defects in three dimensions (e.g., x, y, and z), information about the processing at the locations of the defects such as thicknesses and variations in material properties (e.g., dielectric constant) across the entire device, and defect properties to determine RC variation.
  • Such information may be used with a model to introduce all of the defects across the entire device to simulate the electrical performance.
  • the RC or other properties are a function of frequency so the output from the model may be a curve and not a single value. The curve may then be converted to a classification.
  • multiple inspection layer results may be input to the model used to determine the RC or other properties.
  • the one or more defect-related functions may include using the electrical information and defect inspection data acquired for the wafer in real time to determine RC variation of the device due to defects detected on the wafer. The RC variation of the device due to the defects may be determined in real time as described further herein.
  • WIP work in progress
  • the one or more defect-related functions include identifying critical defects on the wafer.
  • the one or more defect-related functions may include using critical path information (e.g., from netlist) to identify critical defects.
  • the critical path information may be used to determine if the defects are located on or near critical paths of the device. Defects that are located on or near critical paths of the device may be identified based on locations of
  • NYCDMS/10725S7 I the critical paths in the device and locations of the defects with respect to the device.
  • the locations of the defects with respect to the device or design data of the device may be determined as described in the above-reference patent applications by Kulkarni et al. and Zafar et al. In this manner, the locations of the defects with respect to the device may be compared to the locations of the critical paths within the device, and defects that are located on or near (e.g., within a certain predetermined range of) the critical paths may be identified as critical defects or potentially critical defects by the method.
  • the one or more defect-related functions include separating defects detected in areas of the wafer corresponding to electrically sensitive areas of the device from other defects detected on the wafer.
  • the one or more defect-related functions may include using critical path information to separate defects in electrically sensitive areas.
  • the critical path information may be used to determine electrically sensitive areas in the device.
  • areas of the device that include one or more critical paths may be determined as electrically sensitive areas of the device.
  • areas of the device that do not include one or more critical paths may be determined as non-electrically sensitive areas of the device. In this manner, defects that are located in the electrically sensitive areas may be separated from defects that are not located in the electrically sensitive areas. Determining if the defects are located in electrically sensitive areas may be performed as described above.
  • Separating the defects in this manner may be advantageous for a number of reasons. For instance, separating the defects as described above may be performed prior to further processing of the inspection data or processes performed on the defects. In particular, the results of separating the defects as described above may be used for defect sampling such that only defects located in electrically sensitive areas are sampled for review and/or metrology. In another instance, the defects may be separated as described above prior to determining the yield impact of the defects such that the yield impact may be determined only for defects located in electrically sensitive areas. In addition, the electrical test performed on the device may be tailored based on the distribution of the defects found.
  • the one or more defect-related functions include identifying one or more inspection areas on the wafer.
  • the one or more defect-related functions may include using critical path information (e.g., from netlist) to identify inspection area.
  • the critical path information and information about how the device is fabricated on the wafer e.g., orientation and layout of dies on the wafer
  • the inspection areas on the wafer may then be selected based on the locations of the critical paths on the wafer.
  • the inspection areas on the wafer may be selected to include only areas on the wafer in which one or more critical paths are located.
  • the inspection areas may also be selected such that the inspection areas do not include areas on the wafer in which no critical paths are located. In this manner, the area on the wafer that is inspected may be limited to areas in which electrically critical or electrically relevant defects may be located.
  • Such inspection is advantageous for a number of reasons. For example, such inspection may be performed quicker than inspecting the entire wafer. In addition, such inspection will detect fewer defects that are not of interest such as nuisance defects, defects that are not electrically critical, and/or defects that are not electrically relevant. As such, post-processing of the inspection results may be performed much quicker and much more accurately since the signal-to-noise ratio (S/N) of the inspection results for potential defects of interest (DOI) will be higher due to the elimination of detection of a substantial number of defects not of interest. Furthermore, different parameters for inspection of different inspection areas on the wafer may be determined based on the critical path information possibly in combination with any other information described herein. The different parameters may include, for example, different sensitivities.
  • the methods described herein may also include creating inspection recipes using design data as described in commonly assigned U.S. Patent Application Serial No. 60/870,724 by Duffy et al. filed December 19, 2006, which is incorporated by reference as if fully set forth herein.
  • the methods described herein may include any step(s) of any method(s) described in this patent application.
  • systems described herein may be further configured as described in this patent application. Furthermore, the methods
  • NYCDMS/1072587 1 described herein may include performing any of the step(s) described herein using any of the information described in this patent application in combination with any of the information described herein.
  • the one or more defect-related functions may also include metrology setup.
  • the one or more defect-related functions include identifying critical metrology sites on the wafer.
  • the defect-related function(s) may include using critical path information (e.g., from netlist) to identify critical metrology sites.
  • the one or more defect-related functions include performing metrology in areas of the wafer corresponding to relevant areas of the device.
  • the critical path information can be implemented in metrology systems such as those commercially available from KLA-Tencor, San Jose, California, to perform metrology in the relevant areas of semiconductor devices.
  • the critical metrology sites and areas of the wafer corresponding to relevant areas of the semiconductor devices may be determined as described above and is advantageous for at least the reasons described above.
  • different parameters of the metrology process may be selected for use at different critical metrology sites such as different measurements to be performed, different sampling, different parameters of the measurements to be performed, or some combination thereof.
  • the metrology process may include any suitable metrology process, which may include performing any suitable measurements using any suitable metrology system.
  • the metrology process may include measuring critical dimension (CD) using a scatterometry system.
  • the metrology process may include measuring roughness using an atomic force microscope (AFM).
  • the metrology process may include measuring profile of the defects using a scanning electron microscope (SEM).
  • the metrology process may include performing one or more measurements of the defects and two or more different measurements of the defects. One of the reasons that metrology may be performed may be to improve estimates by
  • NYCDMS/1072587 1 reducing the error in the input to a model used to perform estimates based on information about the defects.
  • the one or more defect-related functions include separating metrology variations measured in areas of the wafer corresponding to electrically sensitive areas of the device from other measured metrology variations.
  • the one or more defect-related functions may include using critical path information to separate metrology variations in the electrically sensitive areas.
  • the electrically sensitive areas of the device may be determined as described further herein.
  • the positions of the areas on the wafer in which the metrology variations were measured may be determined with respect to the electrically sensitive areas of the device as described further herein. In this manner, the positions of metrology sites with respect to critical paths or other features in the device may be determined and used to separate the metrology variations measured in electrically sensitive areas of the device from variations measured in non-electrically sensitive areas of the device. Separating the metrology variations in electrically sensitive areas from metrology variations in non-electrically sensitive areas may be performed such that the metrology variations in the electrically sensitive areas can be analyzed separately from other metrology variations.
  • the one or more defect-related functions include determining electrical relevancy of metrology data acquired for the wafer.
  • the one or more defect-related functions may include using critical path information to understand electrical relevancy of metrology data.
  • metrology data acquired on or near one or more critical paths of the device may be assigned a higher electrical relevancy than metrology data that is not acquired on or near a critical path of the device.
  • the position on the wafer at which the metrology data was acquired may be determined with respect to critical paths formed on the wafer as described further herein. In this manner, the positions of the metrology sites with respect to critical paths or other features in the device may be determined and used to determine the electrical relevancy of the metrology data acquired at individual metrology sites.
  • the metrology data may include results of any metrology process or processes described herein.
  • the electrical information includes critical path information
  • the critical path information is generated by an electronic design automation (EDA) tool.
  • EDA electronic design automation
  • the critical path information may be available from EDA tools such as Blaze MOTM Optimization Software commercially available from Blaze DFM, Inc., Sunnyvale, California, and tools commercially available from Cadence Design Systems, Inc., San Jose, California
  • the electrical information includes critical path information
  • the one or more defect-related functions include defect sampling in which only defects on the wafer located on or near critical paths in the device are selected for review.
  • the one or more defect-related functions may include using critical path information to create sampling to review only the defects that are on or near the critical paths.
  • the defects that are located on or near the critical paths in the device may be identified as described herein. Sampling the defects in such a manner may also be performed for other processes such as metrology.
  • Sampling the defects in such a manner is advantageous since defect review results that are particularly relevant to the electrical parameters of the device can be acquired in a relatively short amount of time since the defects that are not located on or near critical paths in the device are not selected for review thereby increasing the relevancy of the results and the turnaround time of review.
  • the electrical information includes critical path information
  • the one or more defect-related functions include overlaying the critical path information with defect inspection data acquired for the wafer and using results of the overlaying step to identify critical defects on the wafer for review.
  • a sequence of analysis steps performed by the method may include defect inspection, overlay with critical path, and identify critical defects to review.
  • Overlaying the critical path information may include determining the position of the defect inspection data in design data space as described further herein and overlaying the defect inspection data in design data space coordinates with the critical path information at the same design data space coordinates.
  • the critical defects may be identified as defects that are located on or near one or more critical paths. The defects identified as critical defects may be selected for review while defects not identified as critical defects may not be selected for review.
  • defect sampling is advantageous for at least the reasons described herein.
  • defect sampling may be performed for one or more other processes performed on the defects such as metrology.
  • the method includes using optimized design data for the device to describe defects detected on the wafer by error budget.
  • the method may include using optimized graphical data stream (GDS) data (e.g., Blaze MO output) to describe defect by error budget.
  • GDS graphical data stream
  • optimized GDS data may be used to describe each critical path by critical error budget, i.e., if the defect or a collection of defects exceeds the critical budget the chip will be slower, etc. In this manner, this approach is not limited to the design optimization use case.
  • the method includes evaluating optimization of design data for the device by searching the design data for patterns of interest (POI), the POI include patterns corrected and not corrected by the optimization, and the method includes comparing a failure rate of corrected and non-corrected patterns in the design data for the device.
  • the method may include confirming optimization of design data for the device by searching the design data for one or more POI.
  • Searching the design data for one or more POI may be performed in any suitable manner. For example, searching the design data for one or more POl may be performed as described in the above-reference patent applications by Kulkarni et al. and Zafar et al.
  • the POI may be selected or identified as described in this patent application.
  • the method may include confirming optimization by Blaze through use of POI search.
  • the POI search may identify instances of the POI in the design data, and the output of the optimization by Blaze may be used to determine if all or some of the instances of the POI have been optimized.
  • the method may include verification or analysis of optimization of the design data and possibly feedback control of the optimization process.
  • the method may include comparing a failure rate of corrected and non-corrected patterns in design data for the device.
  • the method may include confirming optimization by Blaze through use of POI search, which may be performed as described above, and comparing the failure rate of corrected vs. non-corrected patterns.
  • the failure rate may be determined in any suitable
  • NYCDMS/1072587 I manner e.g. using defect inspection data and positions of defects detected on one or more wafers with respect to the corrected and/or non-corrected patterns, which may be determined as described above).
  • the one or more defect-related functions include creating a systematic pareto by critical and non-critical areas of the device to identify critical and non-critical systematic defects on the wafer.
  • the one or more defect- related functions may include systematic pareto by critical and non-critical area thereby identifying critical systematic defects.
  • the critical and non-critical areas may be determined as described herein (e.g., critical areas may include areas in which one or more critical paths are located and non-critical areas may include areas in which no critical paths are located).
  • Systematic pareto may include comparing the positions of the critical and/or non-critical areas to positions of the systematic defects to identify the systematic defects located in critical and/or non-critical areas. Systematic defects located in critical areas may then be identified as critical systematic defects while systematic delects located in non-critical areas may be identified as non-critical systematic defects.
  • the electrical information includes critical path information
  • the one or more defect-related functions include separating systematic defects detected on the wafer located in critical paths of the device from systematic defects detected on the wafer located in non-critical paths of the device.
  • the critical path information may be used to separate critical and non-critical systematic defects.
  • the systematic defects may be identified in any suitable manner. For example, defects detected on the wafer may be identified as systematic defects as described in the above-referenced patent applications by Kulkarni et al. and Zafar et al.
  • the systematic defects detected on the wafer may be determined to be located on or near critical or non- criticai paths of the device as described above. Separating the systematic defects located in critical paths from systematic defects located in non-critical paths is advantageous for at least the reasons described further herein. In addition, separating the systematic defects in this manner is particularly advantageous for systematic defects that impact electrical performance.
  • the electrical information includes critical path information
  • the one or more defect-related functions include separating random defects detected on the wafer located in critical paths of the device from random defects detected on the wafer located in non-critical paths of the device.
  • the critical path information may be used to separate critical and non-critical random defects.
  • the random defects may be identified in any suitable manner. For example, defects detected on the wafer may be identified as random defects as described in the above - referenced patent applications by Kulkarni et al. and Zafar et al. Random defects may be separated in this embodiment as described above with respect to separation of systematic defects. Separating random defects located in critical paths from random defects located in non-critical paths is advantageous for at least the reasons described herein.
  • the one or more defect-related functions are performed for defects detected on the wafer by BF inspection.
  • the methods described herein can be implemented on BF inspection systems such as those commercially available from KLA-Tencor.
  • the methods described herein may be used with such systems and in conjunction with design-based binning (DBB) methods, which may be performed as described in the above-reference patent applications by Kulkarni et al. and Zafar et al., as a way to separate systematic and random defects in critical and non- critical paths.
  • DBB design-based binning
  • the electrical information includes critical path information
  • the one or more defect-related functions include using the critical path information to setup a metrology process for the wafer such that changes in CDs of features of the device on the wafer are measured and can be correlated to parametric performance of the device.
  • the one or more defect-related functions may include using critical path information to setup metrology where any change in CDs is important and enable users to correlate to parametric performance.
  • the metrology process may be setup in this embodiment as described further herein.
  • the change in CDs may be correlated to parametric performance of the device as described further herein.
  • the methods described herein may be used to apply critical path information to defect inspection, review, and metrology.
  • the one or more defect-related functions include inspection of the wafer. Inspection of the wafer may be performed based on the critical path information as described herein.
  • the one or more defect-related functions include defect review of the wafer. Defect review may be performed based on the critical path information as described herein. For example, the defects that are reviewed may be determined based on the critical path information as described herein. In addition, one or more other parameters of review may be selected and/or altered based on the critical path information described herein.
  • the one or more parameters of review that are selected and/or altered based on the critical path information may include any data acquisition parameters (e.g., imaging parameters) and/or any data processing parameters (e.g., classification parameters) of the review process.
  • the one or more defect- related functions include metrology of the wafer. Metrology of the wafer may be performed based on the critical path information as described further herein.
  • Embodiments described herein may also include inline defect classification of memory failure modes, which is also referred to herein as "inline bitmapping.”
  • the one or more defect-related functions include, during inspection of the wafer, classifying defects detected on the wafer into different memory- specific failure modes.
  • the methods described herein can be used to provide a method to classify defects detected by wafer inspection systems such as those commercially available from KLA-Tencor during wafer inspection time into different memory (e.g., DRAM, Flash, SRAM) specific failure modes such as single-bit failure, double-bit failures, bit-line failure, and word-line failure.
  • design context can be used to classify memory failure modes during wafer inspection time.
  • the one or more defect-related functions include classifying defects detected on the wafer into different memory-specific failure modes, and the method includes altering a memory redundancy design optimization strategy based on results of classifying the defects. For example, this classification will enable early
  • NYCDMS/1072587 1 warning of relatively low yielding wafers as well as better memory redundancy design optimization strategy.
  • the one or more defect-related functions include classifying defects detected on the wafer into different memory-specific failure modes, and the method includes determining testing to be performed on the wafer based on results of classifying the defects. For example, while such inline classification may not show absolute yield loss, it may be used to disposition wafers for scrapping and/or to define further testing.
  • Traditional failure mode classification is performed after the entire wafer manufacturing process is completed, which is typically about 1 month to about 2.5 months of cycle time. Users may then overlay a defect map from different inspection layers with bitmapping results to troubleshoot the possible root cause of memory failures. Unfortunately, not every wafer is inspected inline and without a direct correlation to the defect map, users may not be able to determine and/or confirm the root cause easily. Therefore, the old method of failure mode classification does not provide early warning of low yielding wafers and better WIP planning. The old method of failure mode classification also does not enable better defect sampling and review strategy.
  • the one or more defect-related functions include inline memory failure mode classification performed based on defect inspection data and design context surrounding defects detected on the wafer.
  • the one or more defect-related functions may include inline memory failure mode classification that takes into account defect inspection data such as defect location, defect size, and surrounding design context such as poly, metal, and specific layout pattern.
  • the one or more defect-related functions include inline memory failure mode classification, and the inline memory failure mode classification includes registering POI in the device, performing defect inspection, retrieving GDS clips for every defect detected by the defect inspection, classifying the defects based on GDS matching with known POI, and based on defect location and defect size, classifying the defects into different failure modes.
  • the one or more defect-related functions may include the following steps: register a POI such as a single bit layout. Registering the pattern may be performed using
  • NYCDMS/S072587 1 DBB which may be an option on some inspection systems commercially available from KLA-Tencor.
  • DBB may be performed as described in the above-referenced patent applications by Kulkarni et al. and Zafar et al.
  • the steps may also include performing defect inspection.
  • the steps may include retrieving a GDS clip for every defect detected by inspection (e.g., by an inspection system commercially available from KLA-Tencor).
  • the steps may further include classifying defects based on GDS matching with known POI.
  • the steps may include, based on defect location as well as defect size, classifying each defect into single-bit, double-bit, bit-line, or word- line failure modes.
  • the one or more defect-related functions include inline memory failure mode classification, and the inline memory failure mode classification includes associating a defect to a layer of the device and location of cells in the device to determine the failure mode of the defect.
  • a defect may be associated to its respective layer and location of cells.
  • the one or more defect-related functions may include identifying defect location to storage capacitor to determine single bit failure.
  • the method may also include word line (poly) inspection. In such inspection, the one or more defect-related functions may include associating defect location to the poly line to determine column failure.
  • the method may further include bit line inspection. In such inspection, the one or more defect-related functions may include associating the defect location to the bit line to determine row failure.
  • the one or more defect-related functions may include using design data to classify defects during wafer inspection time.
  • the one or more defect-related functions include separating bit failure from word-line or bit-Hne failure using POL
  • the one or more defect-related functions include separating single bit failure from double-bit failure based on defect size.
  • the one or more defect-related functions may include using POI to separate bit failure from word-line or bit-line failure and/or may use defect size to separate single bit failure from double-bit failure.
  • inline disposition that provides better WIP planning, early detection of fatal wafers for faster yield learning,
  • M YCDMS/1072587 1 better sampling and review efficiency, and better memory redundancy design optimization.
  • memory customers represent more than 40% of wafer inspection business, and customers want to ramp yield faster and optimize its redundancy strategy better.
  • inspection systems can be used to provide high value information several weeks earlier than the current methodology and allow memory customers to inspect more layers with a higher sampling rate.
  • Another interesting application for the embodiments described herein is for embedded SRAM products from fabless customers. Most of the time, these customers do not have the information to decide how much redundancy is required, and foundries do not know how to help these fabless customers.
  • the embodiments described herein can be used to decide how much redundancy is required based on information that can easily be generated in the foundry and supplied to the customer.
  • the embodiments described above for inline defect classification of memory failure modes may include any other step(s) of any other method(s) described herein.
  • the electrical information includes netlist data.
  • the electrical information includes netlist data provided to an inspection system used to inspect the wafer.
  • the electrical information from design data can be made available to an inspection system.
  • the electrical information made available can have several forms.
  • the electrical information includes critical timing paths in the device extracted from netlist data.
  • the electrical information includes electrical circuit information.
  • the one or more defect-related functions may include using netlist or electrical circuit information in conjunction with electron beam inspection of wafers.
  • the electrical information used in this embodiment may also or alternatively include any other information described herein.
  • the one or more defect-related functions include targeted electron beam-based inspection of the wafer.
  • the one or more defect-related functions may include using electrical netlist data for targeted inspection in electron beam wafer defect inspection, In one such example, targeted inspection may include inspecting only areas of the device printed on the wafer indicated as critical by the electrical netlist
  • targeted inspection may include inspecting areas of the device printed on the wafer indicated as critical by the electrical netlist data with different parameters (e.g., higher sensitivity) than areas of the device printed on the wafer that are not indicated as critical by the electrical netlist data.
  • electron beam-based inspection may be performed as described in commonly assigned U.S. Patent Nos. 6,445,199 to Satya et al. and 6,921 ,672 to Satya et al., which are incorporated by reference as if fully set forth herein.
  • the systems described herein may be configured as described in these patents.
  • the methods described herein may include any other step(s) described in these patents.
  • the one or more defect-related functions include targeted binning of defects detected by electron beam-based inspection of the wafer.
  • the one or more defect-related functions may include using electrical netlist data for targeted defect binning in electron beam wafer defect inspection.
  • the one or more defect-related functions may include using the electrical information for binning defects in n-type areas separately from defects in p-type areas.
  • targeted binning may include binning defects detected only in areas of the device printed on the wafer indicated as critical by the electrical netlist data.
  • targeted binning may include binning defects detected in areas of the device printed on the wafer indicated as critical by the electrical netlist data with different parameters than defects detected in areas of the device printed on the wafer that are not indicated as critical by the electrical netlist data. Binning the defects in such a manner may be advantageous for a number of reasons. For example, binning defects detected in different areas separately may provide binning results that are more accurate and/or that are more relevant to the performance of the device.
  • the one or more defect-related functions include automatic care area generation for inspection of the wafer.
  • design data made available to an inspection system can be used for automatic care area generation.
  • the care areas may be determined as described above with respect to inspection areas.
  • the one or more defect-related functions include automatic setup of inspection care areas for inspection of the wafer based on circuit electrical function of the
  • the circuit electrical function of the device may be determined in any suitable manner and may include any suitable information.
  • the care areas may include only a portion of features of the device formed on the wafer.
  • the care areas can be used to restrict inspected areas to, for example, only gates or drains of n-type transistors.
  • the one or more defect-related functions may also include selecting one or more parameters of the inspection to be performed in one or more of the care areas. Such parameter(s) may be selected as described further herein.
  • the one or more defect-related functions include binning defects detected on the wafer based on electrical activity. This step may be performed using design data that is made available to the inspection system.
  • the electrical activity may include electrical activity exhibited by the defects during electron beam-based inspection.
  • the one or more defect-related functions include binning defects detected on the wafer based on one or more attributes of the defects. For example, electrical aspects of the design data (such as whether a transistor is n-type or p- type or whether a wire connects to the substrate or is floating) can have a significant impact on the brightness or contrast of an electron beam image. By incorporating such knowledge into the inspection process, improved detection and binning of defects is possible.
  • the one or more defect-related functions include binning defects detected on the wafer based on electrical environment of the defects.
  • the defects may be binned based on electrical activity exhibited by an area surrounding the defects (e.g., a neighborhood of the defects), which may indicate the effect that the defects have on the device.
  • the one or more defect-related functions include binning defects detected on the wafer based on connectivity of features of the device such that defects having different connectivity are binned into different groups of defects. For example, by identifying electrical nodes that connect to gates, these particular defects can be binning defects detected on the wafer based on connectivity of features of the device such that defects having different connectivity are binned into different groups of defects. For example, by identifying electrical nodes that connect to gates, these particular defects can be binning defects detected on the wafer based on connectivity of features of the device such that defects having different connectivity are binned into different groups of defects. For example, by identifying electrical nodes that connect to gates, these particular defects can be identified.
  • NYCDMS/1072587 1 be sorted accordingly as killer or nuisance.
  • the connectivity of the features of the device may be determined in any suitable manner from any of the information described herein. In this manner, defects detected on or near features having different connectivity may be binned into different groups of defects.
  • the connectivity of the features on which the defects are located or near the defect locations may indicate the electrical relevancy, yield impact, or other attributes of the defects. Therefore, binning the defects based on the connectivity of the features of the device may result in separation of the defects into groups of defects such that different groups of defects have different electrical relevancy, different yield impact, different criticality, etc.
  • the one or more defect-related functions include binning defects detected on the wafer based on connectivity of features of the device such that nuisance defects are binned into a group different than one or more groups of non- nuisance defects. For example, metal contacts that are connected to a gate could be binned separately from other metal contacts to help sort out nuisance leakage.
  • the one or more defect-related functions include determining if multiple electrically defective nodes are connected and binning the multiple electrically defective nodes as a single defect if the multiple electrically defective nodes are connected. For example, at metal levels, multiple nodes that are electrically connected and are electrically defective could be binned as a single defect rather than multiple independent defects.
  • the electrical information includes critical timing paths in the device extracted from netlist data.
  • the critical timing paths may be extracted from the netlist data in any suitable manner.
  • the one or more defect-related functions include setup of targeted care areas for inspection of the wafer. In this manner, the one or more defect-related functions may include using timing information to drive care areas. The timing information may be used in combination with any other information described herein to drive care areas. Setting up the targeted care areas may be performed as described further herein (e.g., automatically).
  • the one or more defect-related functions include setup of targeted care areas
  • the one or more defect-related functions include setup of targeted care areas for inspection of the wafer performed such that only the most critical areas of the device are inspected with the highest sensitivity of the inspection. In this manner, critical timing paths extracted from netlist data can be used to setup targeted care areas for inspection so that only the most critical areas of the chip are inspected (or are inspected with the highest available sensitivity).
  • the one or more defect-related functions include using electrical connectivity information for the device to predict voltage contrast (VC) gray levels of images acquired during die-to-reference, electron beam-based inspection of the wafer and using the predicted VC gray levels to match the images (i.e., inspection images) to rendered images of design data for the device stored in a data structure.
  • VC voltage contrast
  • the electrical connectivity can be used to help predict VC gray levels to improve matching between the SEM image and the rendered database thereby increasing the accuracy of defect detection and reducing the detection of non-defect events (events detected due to errors in alignment of the images).
  • the matching may also be performed, for example, to determine the position of a defect with respect to one or more features in the design data during inspection and/or review. Therefore, the matching may be performed with higher accuracy, and any steps performed using the results of the matching may be performed with higher accuracy,
  • the one or more defect-related functions include rendering images of design data for the device for die-to-reference, electron beam-based inspection of the wafer and storing the rendered images in a data structure. In this manner, the method may be used for improved rendering of a database for die-to-database inspection.
  • the one or more defect-related functions include using the electrical information to setup defect review that is focused on a subset of the defects detected on a wafer.
  • the defect review process may be setup by creating a sampling plan for review based on the electrical information.
  • the sampling plan may be determined based on the electrical information such that only
  • NYCDMS/1072587 1 defects in electrically critical areas of the device are selected for review or such that a greater number or higher percentage of defects in electrically critical areas of the device are selected for review.
  • the embodiments of the method described above are advantageous over other methods and systems for a number of reasons. For example, many current inspection methods make no use of design data. Instead, defect detection is achieved by finding differences from die-to-die or cell-to-cell. Existing methods may also miss repeating defects and other subtle defects. In addition, it is difficult to setup recipes that focus only on specific features (e.g., n-type vs. p-type transistors). Furthermore, when defects are caught, it is only possible to bin them based on size and contrast, not based on their electrical function in the circuit.
  • the electrical information includes electrical design information for the device being fabricated on the wafer.
  • the electrical information includes electrical design analysis.
  • the electrical design analysis may include any analysis known in the art.
  • the electrical information may also or alternatively include any other information described herein.
  • the one or more defect-related functions include defect review of the wafer. Defect review of the wafer may be performed based on the electrical design information as described further herein.
  • the one or more defect- related functions may include performing defect review using electrical design analysis. The defect review may be performed using the electrical design analysis as described further herein.
  • the one or more defect-related functions include assisting defect review of the wafer in real time. In some embodiments, the one or more defect-related functions include assisting defect review of the wafer by assisting in defect classification. For example, the one or more defect-related functions may include using electrical design information for a device to assist in the defect review process in real
  • the electrical information may be used to assist in defect classification.
  • Defect classification may be performed using an automatic defect classification (ADC) method or algorithm modified to use the electrical information as a variable for determining the classification.
  • ADC automatic defect classification
  • defect classification may be performed using an ADC method or algorithm, and the classifications assigned by the ADC method or algorithm may be compared to the electrical information corresponding to the classified defects. In this manner, assigned classifications may be confirmed, modified, or corrected based on the electrical information.
  • ADC automatic defect classification
  • Such assisting of the defect review process may be performed in real time as described further herein. In this manner, the method can use electrical design data to enhance defect review.
  • the one or more defect-related functions include assisting defect review of the wafer by assisting sampling of defects for the defect review.
  • the one or more defect-related functions may include using electrical design information for a device to assist in the defect review process in real time by assisting in a sampling strategy for a given device.
  • Assisting in sampling defects for defect review may include using the electrical information to sample the defects, which may be performed as described further herein.
  • Such assisting of the defect review process may be performed in real time as described further herein. In this manner, the method can use electrical design data to enhance defect review.
  • the one or more defect-related functions include making one or more decisions in real time during defect review of a defect location on the wafer.
  • the one or more defect-related functions may include making decisions in real time during defect review for any given defect location.
  • the one or more defect-related functions may include making one or more decisions in real time during defect review of a defect location based on the electrical design information.
  • the one or more decisions may be made in real time as described further herein.
  • the one or more decisions may include any decisions that can be made based on output generated during defect review.
  • the one or more decisions may include deciding a classification for a defect, deciding if metrology should be performed on a
  • NYCDMS/1072587.1 defect deciding what type of metrology measurement(s) should be performed on a defect, etc.
  • the defect location is determined based on inspection of a reticle used to print a portion of the device on the wafer.
  • the locations of defects detected on the reticle may be used to determine locations on the wafer that should be reviewed for defects.
  • the locations reviewed based on inspection results of the reticle may include locations on the wafer at which defects were and were not detected.
  • defect review may be used to analyze printability of defects on the reticle or a defect capture rate of the inspection process performed on the wafer.
  • the locations of the defects at which defect review is performed may be determined in this embodiment as described further herein.
  • the locations of defects detected on the reticle may be used in combination with the electrical design information corresponding to features on the reticle on which the defects are located or located near the defects to determine which locations on the wafer should be reviewed.
  • the defect location is determined based on inspection of the wafer.
  • the locations of defects detected by inspection of the wafer may be used to determine defect locations at which defect review is performed.
  • the locations of the defects at which defect review is performed may be determined in this embodiment as described further herein.
  • the locations of defects detected on the wafer may be used in combination with the electrical design information corresponding to features of the device on which the defects are located or located near the defects to determine which locations on the wafer should be reviewed.
  • the defect location is determined based on design analysis of the device performed to determine critical hot spots for defect detection or monitoring.
  • the design analysis may include any suitable design analysis known in the art.
  • the results of the design analysis may be used to determine critical hot spots in any suitable manner.
  • only defect locations on the wafer corresponding to critical hot spots may be selected for defect review.
  • locations on the wafer corresponding to critical hot spots may be selected for defect review regardless of whether defects were detected at the locations.
  • NYCDMS/1072587 1 may be advantageously performed to analyze a defect capture rate for the inspection process, to monitor the critical hot spots, to verify the critical hot spots, etc.
  • the locations of the defects at which defect review is performed may be determined in this embodiment as described further herein,
  • the defect location may be provided based on reticle or wafer inspection or may be provided based on design analysis of a device to determine critical hot spots for defect detection or monitoring.
  • the decisions that are made in real time during defect review may fall into several categories such as those described below.
  • the one or more defect-related functions include determining, in real time during defect review of a defect location on the wafer, if a defect at the defect location is electrically relevant to the device. In this manner, the method can be used to make a decision about whether the defect is electrically relevant to the device. If the defect is not electrically relevant, it can be ignored. Examples of defects that can be ignored may be redundant contacts. Determining if a defect is electrically relevant to the device may be performed as described further herein.
  • the one or more defect-related functions include determining, in real time during defect review of a defect location on the wafer, if additional spot inspections of other parts of the device in the same electrical path as a defect at the defect location are to be performed to assist in determining electrical relevance of the defect.
  • the additional "spot" inspections may essentially be localized inspections of the wafer, which may be performed in a process in which the entire wafer is not inspected. Such additional spot inspections may be performed with one or more different parameters than the inspection that was performed to initially detect the defects.
  • the locations of the other parts of the device in the same electrical path as the defect at the defect location on the wafer may be determined, for example, using the wafer space location of the defect, information about the design data, and information about how the design data was printed on the wafer (e.g., spatial orientation of the design data printed on the wafer). In this manner, the locations of the other parts may be determined in wafer space. Alternatively, the locations of the other parts of the device in the same electrical
  • NYCDMS/1072587 I path as the defect at the defect location may be determined in design space by determining a design data space position of the defect based on the wafer space position of the defect, comparing the design data space position of the defect to the design data in design data space to determine the design data space positions of the locations of the other parts, and determining the locations of the other parts on the wafer using the design data space positions of the other parts with a design data space to wafer space transformation. These steps may be performed as described in the above-referenced patent applications to Kulkarni et al. and Zafar et al. Results of the additional spot inspections may be used to assist in determining electrical relevance of the defect in any suitable manner.
  • the method can be used to perform additional spot inspections of other parts of the device in the same electrical path to assist in determining the electrical relevance of a defect.
  • the additional spot inspections may include spot inspections and/or reviews at the current layer or other layers in the device.
  • the one or more defect-related functions include determining, in real time during defect review of a defect location on the wafer, if additional spot inspections of other parts of the device in the same electrical path and layer as a defect at the defect location are to be performed to assist in determining electrical relevance of the defect.
  • the additional spot inspections may be performed as described above.
  • the locations on the wafer at which the additional spot inspections are to be performed may be determined as described herein.
  • the one or more defect-related functions include determining, in real time during defect review of a defect location on the wafer, if additional spot inspections of other parts of the device in the same electrical path as a defect at the defect location and on layers other than a layer associated with the defect are to be performed to assist in determining electrical relevance of the defect.
  • the additional spot inspections may be performed as described above.
  • the locations on the wafer at which the additional spot inspections are to be performed may be determined as described herein.
  • the layers on which the additional spot inspections are to be performed may include any one or more layers other than the layer on which the defect was detected.
  • the one or more defect-related functions include binning, in real time during defect review, reviewed defects on the wafer in a pareto chart based on the electrical information.
  • reviewed defects can be binned in a pareto chart based on electrical design information. Binning the reviewed defects in a pareto chart may be further performed as described herein.
  • the electrical information includes analysis of the design
  • the one or more defect-related functions include determining, in real time during the defect review, an electrical-based ranking of an effect on yield of one or more of the reviewed defects.
  • such design information may include analysis of the design to determine an electrical-based ranking of the effect on yield of any given defect.
  • the effect on yield of the one or more reviewed defects may be determined as described further herein, and defects determined to have a greater effect on yield may be assigned a higher electrical-based ranking than defects determined to have lesser effects on yield.
  • Such electrical-based ranking results may be used to prioritize the defects for review, metrology, repair, and/or to prioritize portions of the design data located proximate to the defects for alteration and/or optimization.
  • Such prioritization may be performed as described in the above-referenced patent applications by Kulkarni et al. and Zafar et al.
  • the one or more defect-related functions include defect classification.
  • the one or more defect-related functions may include using electrical design information to classify a defect.
  • the one or more defect-related functions may include defect classification using electrical design analysis. Classifying the defects in this embodiment may be further performed as described herein.
  • the one or more defect-related functions include identifying electrically critical hot spots in the device.
  • the one or more defect-related functions may include identifying electrically critical hot spots in the device based on the electrical design information for the device. Identifying the electrically critical hot spots may be performed as described further herein.
  • the one or more defect-related functions include identifying electrically critical hot spots in the device using information from a tool configured to define a process window for fabrication of the device on the wafer. The tool may include
  • NYCDMS/1072587 1 any tool configured to define a process window for fabrication of the device on the wafer experimentally and/or via simulation.
  • the tool may be configured to perform a process window qualification (PWQ) method.
  • the tool may be configured to define a process window for any fabrication process performed on the wafer (e.g., lithography, etch, deposition, etc).
  • the method can define a list of electrically critical hot spots either by itself or in conjunction with a tool which defines a process window.
  • the electrically critical hot spots include locations at an edge of the process window for the device and critical to electrical performance of the device.
  • the electrically critical hot spots may be locations which are both at the edge of the process window for a given device as well as being critical to the electrical performance of the device.
  • the one or more defect-related functions include identifying electrically critical hot spots in the device and selecting the electrically critical hot spots for inspection, monitoring, or some combination thereof during defect review performed on the wafer, In this manner, the hot spots can be scheduled for spot inspection and/or monitoring during the defect review process.
  • Such embodiments may also include selecting one or more parameters to be used for inspection, monitoring, or some combination thereof performed during defect review of the wafer.
  • the one or more parameters may include any of the parameter(s) described herein and may be selected for inspection and/or monitoring of the electrically critical hot spots as described further herein.
  • Hot spots can also be defects on the mask.
  • the one or more defect- related functions may include feedback of newly discovered hot spots to monitoring a mask. For example, crystal growth defects on a mask can be removed periodically with a mask clean. If the defects were to occur at a critical path, which may be determined as described further herein, the fab may want to clean the mask sooner than clean would otherwise be performed.
  • the one or more defect-related functions may also include dispositioning the mask such as recommending mask clean, mask repair, or mask replacement based on the hot spots and/or the newly discovered hot spots.
  • the method includes generating the electrical information by processing design data for the device.
  • Processing the design data may include any suitable processing known in the art.
  • the design data includes physical layout information, three-dimensional structure information, netlist information, or some combination thereof.
  • the one or more defect-related functions include determining a classification or ranking in real time for a defect on the wafer reviewed by a defect review tool.
  • the one or more defect-related functions include using the electrical information and defect information generated by a defect review tool to determine electrical effects on the device due to defects detected on the wafer and using the electrical effects to determine a classification or ranking for the defects.
  • the electrical effects of the defects on the device may be determined as described further herein.
  • the classification or ranking may be determined as described further herein.
  • determining the classification may essentially bin the defects into a defined class.
  • the electrical effects on the device due to the defects detected on the wafer may be used to group or determine a grouping for the defects in a similar manner.
  • a computer system configured to perform the method may process design data such as the physical layout, three-dimensional structure, and netlist information to determine a classification or ranking in real time for a given defect reviewed by a defect review tool.
  • the computer system may take information regarding the design as well as defect information generated from the review tool and analyze the electrical effect in real time to make a classification or ranking.
  • the method includes, prior to defect review, generating the electrical information using design data for the device to determine locations of the most critical areas of the device in terms of electrical performance and storing the locations in a storage medium accessible by a review tool.
  • Generating the electrical design information may be performed in any suitable manner. Determining locations of the most critical areas of the device in terms of electrical performance may be performed as described further herein. In addition, determining the locations of the most critical areas of the
  • KYCDMS/1072587 1 device in terms of electrical performance may include determining the sensitivity of yield to defects in different areas of the device, which may be performed as described in the above-referenced patent applications by Kulkarni et al. and Zafar et al.
  • the areas of the device that are most sensitive to defects may be identified as the most critical areas of the device in terms of performance.
  • the most critical areas of the device in terms of performance may be determined based on one or more attributes of the design data for the device, which may include any of the design data attribute(s) described herein.
  • the locations determined in this embodiment may be stored in any suitable storage medium in any suitable manner (e.g., as described further herein).
  • the storage medium may be "available" to the review system if the storage medium can be accessed by the review system (e.g., by a transmission medium coupling a computer system of the review system to the storage medium).
  • the storage medium may include a storage medium included in the review system, a storage medium included in a different system (e.g., an inspection system) to which the computer system of the review system is coupled, or a fab database.
  • the locations of the most critical areas of the device in terms of electrical performance may be stored in a storage medium accessible by a tool that samples for review.
  • the one or more defect-related functions include using the locations to monitor the locations for defectivity.
  • the one or more defect-related functions may include using the locations to monitor the locations of the most critical areas of the device in terms of performance for defectivity.
  • the design information may be analyzed before a review takes place to determine where the most critical areas are for a device in terms of electrical performance. These locations may be stored in a physical storage device and available to the review tool. The review tool can then be used to monitor these critical locations for defectivity.
  • the one or more defect-related functions include using electrical CD margins for defect classification.
  • the one or more defect-related functions may include examining a defect that is a relatively small CD variation
  • the CD may be measured by a defect review system and then processed along with the electrical design data to determine the consequence of the CD change on the electrical performance of a device. Any changes in the electrical performance of the device may be determined based on the CD change as described further herein. If the performance changes past some predefined threshold value, the location may be flagged as defective with a unique classification code.
  • the predefined threshold value may be set by a designer of the device, a customer, or by the method.
  • the one or more defect-related functions include real time defect classification based on electrical performance effects on the device due to defects detected on the wafer and sampling the defects for review based on electrical design data.
  • the one or more defect-related functions may include real time defect classification based on electrical performance effects of defects as well as additional sampling based on electrical design data.
  • the method may use a real time system to determine the effect of a defect on the electrical performance of the device.
  • defect classification and sampling may be performed as described further herein.
  • sampling the defects may be performed in this embodiment for defect review and/or any other process to be performed on the defects (e.g., metrology).
  • the defect classification and sampling may be performed in real time as described further herein.
  • the one or more defect-related functions include determining an effect of a defect detected on the wafer on electrical performance of the device. The effect of a defect on the electrical performance of the device may be determined as described further herein.
  • the one or more defect- related functions include using electrically critical hot spots in the device to perform defect monitoring. In this manner, the one or more defect-related functions may include using electrically critical hot spots to drive defect monitoring.
  • the areas of the wafer that are inspected, reviewed, and/or measured may be selected to include areas on the wafer corresponding to the electrically critical hot spots. The areas on the wafer corresponding to the electrically critical hot spots may be determined as described further herein.
  • Such an embodiment may also include selecting one or more
  • the one or more defect-related functions include using the electrical information to determine additional device sampling based on a defect detected on the wafer.
  • the one or more defect-related functions may include using electrical design information to determine additional device sampling based on a defect. The additional device sampling may be determined as described further herein.
  • a direct prediction of the effect(s) on device performance, and therefore yield, of any given defect may be determined.
  • the information used for this determination may include the DOI, the design including the netlist, the current location within one or more process windows, and a characterization of the one or more process windows.
  • the characterization of the one or more process windows may include the locations and other relevant information about any hot spots that appear at various locations within the process windows. For example, as shown in Fig. 1 , process window hot spots may be detected at nominal process window conditions and at conditions that are +1 steps away from nominal, +2 steps away from nominal, etc. As further shown in Fig. 1 , additional hot spots appear as a process drifts within the process window (e.g., away from nominal conditions).
  • a system may determine what other known hot spots for that location within the process windows fall on the same electrical path as the DOL Using the combination of these pieces of information, the system can predict the effect on device performance based on analysis of the other hot spots in the electrical path using one or more of the methods described below.
  • the methods described below are just examples of possible methods of analysis, but any method of determining the additive effects of hot spots within a process window may be used.
  • the one or more defect-related functions may include overlaying the locations of any defects found on the wafer with the electrical path for the DOI and filtering for those which are located on known hot spots. These hot spots may then be assumed to have
  • NYCDMS/1072587 1 failed in a known manner, and the total effect on the circuit can be estimated.
  • the implication is that the process window can be selected, which optimizes parametric yield by choosing process conditions that are least sensitive to process variation.
  • the one or more defect-related functions may include assuming that all known hot spots at that location in the process window have failed in a known manner. In this case, the total effect on the circuit for the DOl can be estimated.
  • the one or more defect-related functions may include using a defect review or metrology tool to perform a spot inspection of the known hot spots for the process window location along the electrical path for the given defect and accurately characterizing the conditions of the hot spots. In this way, the total effect on the circuit for the DOl can be estimated.
  • spot inspections may be performed as described in commonly owned U.S. Patent Application Serial No. 1 1/950,961 to Fouquet et al, filed December 5, 2007, which is incorporated by reference as if fully set forth herein.
  • the embodiments described herein may include any step(s) of any method(s) described in this patent application.
  • a yield prediction (parametric yield prediction or electrical performance prediction) can be made from a combination of design and process window.
  • the input to the method may include the defect, design information, and the location in the process window.
  • the analysis may include characterizing the defect, examining hot spots in the electrical path at the process window location using one or more of the following methods: assume all hot spots failed; spot inspections to determine additional defectivity; overlay of detected defects with hot spots to gauge hot spots defectivity; or some combination thereof, and characterizing the effect of defect and hot spot conditions on device performance.
  • the output may include yield relevance of any given defect.
  • NYCDMS/] 072587 1 reversed such that an electrical defect is found at the end of the line and then FA is performed back to the original process.
  • defects are currently viewed in terms of gross failures such as shorts or breaks. Even relatively small sizing defects of individual structures may be electrically important to a device and cannot currently be accurately classified.
  • All of the embodiments of the method described herein may also include storing results of the one or more defect-related functions or any other steps of the method in a storage medium.
  • the results of the one or more defect-related functions may include any of the results described herein.
  • the storing step may include storing results of the one or more defect-related functions in addition to any other results of any steps of any method embodiments described herein.
  • the results may be stored in any manner known in the art.
  • the storage medium may include any storage medium described herein or any other suitable storage medium known in the art.
  • the results may be stored “permanently,” “semi-permanently,” temporarily, or for some period of time.
  • the storage medium may be random access memory (RAM), and the results of the one or more defect-related functions may not necessarily persist in the storage medium.
  • each of the embodiments of the method described above may include any other step(s) of any other method(s) described herein.
  • each of the embodiments of the method described above may be performed by any of the systems described herein.
  • carrier medium that includes program instructions executable on a computer system for performing a computer-implemented method.
  • carrier medium 10 includes program instructions 12 executable on computer system 14 for performing a computer-implemented method.
  • the computer-implemented method includes using electrical information for a device being fabricated on a wafer to perform
  • the one or more defect-related functions include one or more post-mask, defect-related functions.
  • the computer-implemented method may perform the defect-related function(s) using any of the electrical information described further herein, and the defect-related function(s) may include any of the defect- related function(s) described herein.
  • the computer-implemented method executable on the computer system by the program instructions may include any other step(s) of any other method(s) described herein.
  • the carrier medium may be further configured as described herein.
  • Program instructions 12 implementing methods such as those described herein may be transmitted over or stored on carrier medium 10.
  • the carrier medium may be a transmission medium such as a wire, cable, or wireless transmission link.
  • the carrier medium may also be a storage medium such as a read-only memory, a random access memory, a magnetic or optical disk, or a magnetic tape.
  • the program instructions may be implemented in any of various ways, including procedure-based techniques, component-based techniques, and/or object-oriented techniques, among others.
  • the program instructions may be implemented using Matlab, Visual Basic, ActiveX controls, C, C++ objects, C#, JavaBeans, Microsoft Foundation Classes (''MFC”), or other technologies or methodologies, as desired.
  • An additional embodiment relates to a system configured to perform a computer- implemented method.
  • the system includes a computer system configured to perform the computer-implemented method.
  • One embodiment of such a system is shown in Fig. 2.
  • the system may include computer system 14 configured to perform the computer-implemented method.
  • the computer-implemented method includes using electrical information for a device being fabricated on a wafer to perform one or more defect-related functions.
  • the one or more defect-related functions include one or more post-mask, defect-related functions.
  • the computer system may perform the defect-related function(s) using any of the electrical information described further herein, and the defect-related function(s) may include any of the defect-related function(s) described herein.
  • the computer-implemented method performed by the computer system may
  • NYCDMS/1072587 1 include any other step(s) of any other method(s) described herein.
  • the system may be further configured according to any embodiment(s) described herein.
  • the system may be configured as a stand-alone system that does not form part of a process, inspection, metrology, review, or other tool.
  • computer system 14 may be configured to receive and/or acquire data or information from other systems (e.g., inspection data from an inspection system) by a transmission medium that may include "wired" and/or "wireless" portions. In this manner, the transmission medium may serve as a data link between the computer system and the other system.
  • computer system 14 may send data to the other system via the transmission medium.
  • data may include, for example, design data, context data, results of the methods described herein, inspection recipes or other recipes, or some combination thereof.
  • Computer system 14 may take various forms, including a personal computer system, mainframe computer system, workstation, image computer, parallel processor, or any other device known in the art.
  • computer system may be broadly defined to encompass any device having one or more processors, which executes instructions from a memory medium.
  • the system includes inspection system 16.
  • Inspection system 16 is configured to acquire defect inspection data for wafer 18.
  • computer system 14 may be coupled to the inspection system in any manner known in the art.
  • computer system 14 may be coupled to detector 20 of inspection system 16 such that the computer system can receive inspection data generated by the detector.
  • the computer system may receive any other output of the detector such as image data and signals.
  • the inspection system includes more than one detector (not shown), the computer system may be coupled to each detector as described above.
  • inspection system 16 includes light source 22.
  • Light source 22 may include any appropriate light source known in the art.
  • Light source 22 may be configured to direct light to beam splitter 24.
  • Beam splitter 24 may be configured to direct light from light source 22 to wafer 18 at a substantially normal angle of incidence.
  • Beam splitter 24 may include any appropriate optical component known in the art.
  • NYCDMS/1072587 1 reflected from wafer 18 may pass through beam splitter 24 to detector 20.
  • Detector 20 may include any appropriate detector known in the art. Output generated by detector 20 may be used to detect defects on wafer 18.
  • computer system 14 may be configured to detect defects on wafer 18 using output generated by the detector. The computer system may use any method and/or algorithm known in the art to detect defects on the wafer.
  • wafer 18 may be disposed on stage 26. Stage 26 may include any appropriate mechanical and/or robotic assembly known in the art.
  • the inspection system shown in Fig. 2 may also include any other suitable components (not shown) known in the art.
  • the inspection system is configured to detect light specularly reflected from the wafer.
  • the inspection system shown in Fig. 2 is configured as a BF inspection system.
  • the inspection system may be replaced by an inspection system configured as a DF inspection system, an edge contrast (EC) inspection system, an aperture mode inspection system, or any other optical inspection system known in the art,
  • the inspection system may be configured to perform one or more inspection modes.
  • the inspection system shown in Fig. 2 may be configured to perform DF inspection by altering an angle of incidence at which the light is directed to the wafer and/or an angle at which light is collected from the wafer.
  • the inspection system may be configured such that one or more optical components (not shown) such as apertures may be positioned in the illumination path and the collection path such that the inspection system can perform EC mode inspection and/or an aperture mode of inspection.
  • the optical inspection system shown in Fig. 2 may include a commercially available inspection system such as the 2360, 2365, 2371, and 23xx systems that are available from KLA-Tencor.
  • the optical inspection system shown in Fig. 2 may be replaced by an electron beam inspection system. Examples of commercially available electron beam inspection systems that may be included in the system of Fig. 2 include the eS25, eS30, and eS31 systems from KLA- Tencor.
  • the embodiments of the system shown in Fig. 2 may be further configured as described herein.
  • the system may be configured to perform any other step(s)

Abstract

Various methods and systems for using electrical information for a device being fabricated on a wafer to perform one or more defect-related functions are provided. One computer-implemented method includes using electrical information for a device being fabricated on a wafer to perform one or more defect-related functions. The one or more defect-related functions include one or more post-mask, defect-related functions.

Description

TITLE: METHODS AND SYSTEMS FOR USING ELECTRICAL INFORMATION FOR A DEVICE BEING FABRICATED ON A WAFER TO PERFORM ONE OR MORE DEFECT-RELATED FUNCTIONS
BACKGROUND OF THE INVENTION
1. Field of the Invention
The present invention generally relates to methods and systems for using electrical information for a device being fabricated on a wafer to perform one or more defect- related functions. Certain embodiments relate to a computer-implemented method that includes using critical path information, electrical information, electrical design information, or some combination thereof for a device being fabricated on a wafer to perform one or more defect-related functions.
2. Description of the Related Art
The following description and examples are not admitted to be prior art by virtue of their inclusion in this section.
An integrated circuit (IC) design may be developed using a method or system such as electronic design automation (EDA), computer aided design (CAD), and other IC design software. Such methods and systems may be used to generate a circuit pattern database from the IC design. The circuit pattern database includes data representing a plurality of layouts for various layers of the IC. Data in the circuit pattern database may be used to determine layouts for a plurality of reticles. A layout of a reticle generally includes a plurality of polygons that define features in a pattern on the reticle. Each reticle is used to fabricate one of the various layers of the IC. The layers of the IC may include, for example, a junction pattern in a semiconductor substrate, a gate dielectric pattern, a gate electrode pattern, a contact pattern in an interlevel dielectric, and an interconnect pattern on a metallization layer.
Atty Dkt No 56197200-490/P1958PCT Page 1 Baker & McKenzie LLP
NYCDMS/1072587 1 A semiconductor device design is verified by different procedures before production of ICs. For example, the semiconductor device design is checked by software simulation to verify that all features will be printed correctly after lithography in manufacturing. Such checking commonly includes steps such as design rule checking (DRC), optical rule checking (ORC)1 and more sophisticated software-based verification approaches that include process simulation calibrated to a specific fab and process. The output of the physical design verification steps can be used to identify a potentially large number of critical points, sometimes referred to as "hot spots," in the design.
Fabricating semiconductor devices such as logic and memory devices typically includes processing a substrate such as a semiconductor wafer using a large number of semiconductor fabrication processes to form various features and multiple levels of the semiconductor devices. For example, lithography is a semiconductor fabrication process that involves transferring a pattern from a reticle to a resist arranged on a semiconductor wafer. Additional examples of semiconductor fabrication processes include, but are not limited to, chemical-mechanical polishing (CMP), etch, deposition, and ion implantation. Multiple semiconductor devices may be fabricated in an arrangement on a single semiconductor wafer and then separated into individual semiconductor devices.
Inspection processes are used at various steps during a semiconductor manufacturing process to detect defects on wafers to promote higher yield in the manufacturing process and thus higher profits. Inspection has always been an important part of fabricating semiconductor devices such as ICs. However, as the dimensions of semiconductor devices decrease, inspection becomes even more important to the successful manufacture of acceptable semiconductor devices because smaller defects can cause the devices to fail. For instance, as the dimensions of semiconductor devices decrease, detection of defects of decreasing size has become necessary since even relatively small defects may cause unwanted aberrations in the semiconductor devices.
Another important part of manufacturing yield control is determining the cause of defects on wafers such that the cause of the defects can be corrected to thereby reduce the number of defects on other wafers. Often, determining the cause of defects involves identifying the defect type and other attributes of the defects such as size, shape,
Any Dkt No 56197200-490/P19S8PCT Page 2 Baker & McKcn/ie LLP
NYCDMS/1072587 I composition, etc. Since inspection typically only involves detecting defects on wafers and providing limited information about the defects such as location on the wafers, number of defects on the wafers, and sometimes defect size, defect review is often used to determine more information about individual defects than that which can be determined from inspection results. For instance, a defect review tool may be used to revisit defects detected on a wafer and to examine the defects further in some manner either automatically or manually.
Defect review typically involves generating additional information about defects at a higher resolution using either a high magnification optical system or a scanning electron microscope (SEM). The higher resolution data for the defects generated by defect review is more suitable for determining attributes of the defects such as profile, roughness, more accurate size information, etc. Defect analysis may also be performed using a system such as an electron dispersive x-ray spectroscopy (EDS) system. Such defect analysis may be performed to determine information such as composition of the defects. Attributes of the defects determined by inspection, review, analysis, or some combination thereof can be used to identify the type of the defect (i.e., defect classification) and possibly a root cause of the defects. This information can then be used to monitor and alter one or more parameters of one or more semiconductor fabrication processes to reduce or eliminate the defects.
As design rules shrink, however, semiconductor manufacturing processes may be operating closer to the limitations on the performance capability of the processes. In addition, smaller defects can have an impact on the electrical parameters of the device as the design rules shrink, which drives more sensitive inspections. Therefore, as design rules shrink, the population of potentially yield relevant defects detected by inspection grows dramatically, and the population of nuisance defects detected by inspection also increases dramatically. Therefore, more and more defects may be detected on wafers, and correcting the processes to eliminate all of the defects may be difficult and expensive. As such, determining which of the defects actually have an effect on the electrical parameters of the devices and the yield may allow process control methods to be focused on those defects while largely ignoring others. Furthermore, at smaller design rules, process
Atty Dkl No 56 !97200-490/Pl 95 SPCT Page 3 Baker & McKeπzie LLP
NYCDMS/10725S7 1 induced failures may. in some cases, tend to be systematic. That is, process induced failures tend to fail at certain design patterns often repeated many times within the design. Elimination of spatially systematic, electrically relevant defects is important because eliminating such defects can have a significant overall impact on yield. Whether or not defects will affect device parameters and yield often cannot be determined from the inspection, review, and analysis processes described above since these processes may not be able to determine the position of the defect with respect to the electrical design.
Some methods and systems for aligning defect information to the electrical design have been developed. For instance, a SEM review system may be used to determine more accurate coordinates of defect locations for a sample of defects, and the defect coordinates reported by the SEM review system may be used to determine locations of defects in the electrical design. Other methods involve aligning inspection care areas (e.g.. the areas of the device pattern formed on the wafer in which inspection will be performed) to the physical location of the pattern printed on the wafer. However, currently, the care areas can be aligned to the pattern printed on the wafer with an accuracy of no better than about 2 μm due to system errors and imperfections. For instance, some bright field (BF) inspection systems have coordinate accuracies of about +-/- 1 μm, In addition, the inspection care areas in currently used methods are relatively large and include many non-critical features as well as desired critical features. In trying to maximize the sensitivity of the inspection system to capture subtle spatially systematic "design-for-manufacturability" (DFM) defects resulting from design and process interdependencies, the system may be overwhelmed by millions of events in non-critical areas such as CMP fill regions. Detecting such nuisance defects is disadvantageous for a number of reasons. For example, these nuisance events need to be filtered out of the inspection results by post-processing of the inspection data. In addition, nuisance event detection limits the ultimate achievable sensitivity of the inspection system for DFM applications. A high rate of nuisance defect data may also overload the run time data processing capacity of the inspection system thereby reducing throughput and/or causing the loss of data.
Atty Dkt No 56197200-490/P1958PCr Page 4 Baker & McKenzie LLP
NYCDMS/1072587 1 Accordingly, it would be advantageous to develop methods and systems for using electrical information for a device being fabricated on a wafer to perform one or more defect-related functions that do not have one or more of the disadvantages described above.
SUMMARY OF THE INVENTION
The following description of various embodiments of methods, carrier media, and systems is not to be construed in any way as limiting the subject matter of the appended claims.
One embodiment relates to a computer-implemented method that includes using electrical information for a device being fabricated on a wafer to perform one or more defect-related functions. The one or more defect-related functions include one or more post-mask, defect-related functions.
In one embodiment, the electrical information includes critical path information, In another embodiment, the one or more defect-related functions include determining performance of the device using defect inspection data acquired for the wafer and the electrical information. In another embodiment, the one or more defect-related functions include using the electrical information and defect inspection data acquired for the wafer in real time to determine performance of the device.
In one embodiment, the one or more defect-related functions include determining potential yield impact of defects on the device using defect inspection data acquired for the wafer and the electrical information. In another embodiment, the one or more defect- related functions include using the electrical information and defect inspection data acquired for the wafer in real time to determine potential yield impact of defects on the device. In an additional embodiment, the one or more defect-related functions include determining electrical relevancy of defects detected on the wafer.
In some embodiments, the one or more defect-related functions include determining timing delay of the device due to defects detected on the wafer using defect inspection data acquired for the wafer and the electrical information. In another embodiment, the one or more defect-related functions include using the electrical
Atty Dkt No 56I97200-490/P195SPCT Page s Baker & McKenzie LLP
N YCDMS/1072587 1 information and defect inspection data acquired for the wafer in real time to determine timing delay of the device due to defects detected on the wafer.
In one embodiment, the one or more defect-related functions include determining power leakage of the device due to defects detected on the wafer using defect inspection data acquired for the wafer and the electrical information. In another embodiment, the one or more defect-related functions include using the electrical information and defect inspection data acquired for the wafer in real time to determine power leakage of the device due to defects detected on the wafer, In an additional embodiment, the one or more defect-related functions include determining resistance-capacitance variation of the device due to defects detected on the wafer using the electrical information and defect inspection data acquired for the wafer. In a further embodiment, the one or more defect- related functions include using the electrical information and defect inspection data acquired for the wafer in real time to determine resistance-capacitance variation of the device due to defects detected on the wafer.
In one embodiment, the electrical information includes critical path information, and the critical path information is acquired from netlist information for the device. In another embodiment, the one or more defect-related functions include identifying critical defects on the wafer. In an additional embodiment, the one or more defect-related functions include separating defects detected in areas of the wafer corresponding to electrically sensitive areas of the device from other defects detected on the wafer.
In one embodiment, the one or more defect-related functions include identifying one or more inspection areas on the wafer. In another embodiment, the one or more defect-related functions include identifying critical metrology sites on the wafer. In an additional embodiment, the one or more defect-related functions include separating metrology variations measured in areas of the wafer corresponding to electrically sensitive areas of the device from other measured metrology variations. In a further embodiment, the one or more defect-related functions include determining electrical relevancy of metrology data acquired for the wrafer.
In one embodiment, the electrical information includes critical path information. In one such embodiment, the critical path information is generated by an electronic design
Atty Dkt No 56197200-490/P1958PCT Page 6 Baker & McKenzie LLP
NYCDMS/1072587 1 automation tool. In another such embodiment, the one or more defect-related functions include defect sampling in which only defects on the wafer located on or near critical paths in the device are selected for review. In an additional such embodiment, the one or more defect-related functions include overlaying the critical path information with defect inspection data acquired for the wafer and using results of the overlaying step to identify critical defects on the wafer for review.
In one embodiment, the method includes using optimized design data for the device to describe defects detected on the wafer by error budget. In another embodiment, the method includes evaluating optimization of design data for the device by searching the design data for patterns of interest. The patterns of interest include patterns corrected and not corrected by the optimization. In addition, the method includes comparing a failure rate of corrected and non-corrected patterns in the design data for the device.
In one embodiment, the one or more defect-related functions include creating a systematic pareto by critical and non-critical areas of the device to identify critical and non-critical systematic defects on the wafer. In another embodiment, the electrical information includes critical path information. In one such embodiment, the one or more defect-related functions include separating systematic defects detected on the wafer located in critical paths of the device from systematic defects detected on the wafer located in non-critical paths of the device. In another such embodiment, the one or more defect-related functions include separating random defects detected on the wafer located in critical paths of the device from random defects detected on the wafer located in non- critical paths of the device.
In one embodiment, the one or more defect-related functions are performed for defects detected on the wafer by bright field inspection. In another embodiment, the electrical information includes critical path information, and the one or more defect- related functions include using the critical path information to setup a metrology process for the wafer such that changes in critical dimensions of features of the device on the wafer are measured and can be correlated to parametric performance of the device.
In one embodiment, the one or more defect-related functions include inspection of the wafer. In another embodiment, the one or more defect-related functions include
Atty Dkt No 56197200-490/P1958PCT Page 7 Baker & McKenzie LLP
NYCDMS/1072587 1 defect review of the wafer. In an additional embodiment, the one or more defect-related functions include metrology of the wafer.
In one embodiment, the electrical information includes netlist data. In another embodiment, the electrical information includes netlist data provided to an inspection system used to inspect the wafer, In an additional embodiment, the electrical information includes electrical circuit information.
In some embodiments, the one or more defect-related functions include targeted electron beam-based inspection of the wafer. In another embodiment, the one or more defect-related functions include targeted binning of defects detected by electron beam- based inspection of the wafer.
In one embodiment, the one or more defect-related functions include automatic care area generation for inspection of the wafer. In another embodiment, the one or more defect-related functions include automatic setup of inspection care areas for inspection of the wafer based on circuit electrical function of the device. In an additional embodiment, the one or more defect-related functions include binning defects detected on the wafer based on electrical activity.
In one embodiment, the one or more defect-related functions include binning defects detected on the wafer based on electrical environment of the defects. In another embodiment, the one or more defect-related functions include binning defects detected on the wafer based on connectivity of features of the device such that defects having different connectivity are binned into different groups of defects. In an additional embodiment, the one or more defect-related functions include binning defects detected on the wafer based on connectivity of features of the device such that nuisance defects are binned into a group different than one or more groups of non-nuisance defects. In a further embodiment, the one or more defect-related functions include determining if multiple electrically defective nodes are connected and binning the multiple electrically defective nodes as a single defect if the multiple electrically defective nodes are connected.
In one embodiment, the electrical information includes critical timing paths in the device extracted from netlist data, and the one or more defect-related functions include
AtIy Dkt No 56197200-490/P1958PCT Page 8 Baker & McKeπzse LLP
NYCDMS/1072587 J setup of targeted care areas for inspection of the wafer. In another embodiment, the one or more defect-related functions include using electrical connectivity information for the device to predict voltage contrast gray levels of images acquired during die-to-reference, electron beam-based inspection of the wafer and using the predicted voltage contrast gray levels to match the images to rendered images of design data for the device stored in a data structure. In an additional embodiment, the one or more defect-related functions include rendering images of design data for the device for die-to-reference, electron beam-based inspection of the wafer and storing the rendered images in a data structure.
In one embodiment, the electrical information includes electrical design information for the device being fabricated on the wafer. In another embodiment, the electrical information includes electrical design analysis.
In an additional embodiment, the one or more defect-related functions include assisting defect review of the wafer in real time. In another embodiment, the one or more defect-related functions include assisting defect review of the wafer by assisting in defect classification, In a further embodiment, the one or more defect-related functions include assisting defect review of the wafer by assisting sampling of defects for the defect review.
In one embodiment, the one or more defect-related functions include making one or more decisions in real time during defect review of a defect location on the wafer. In one such embodiment, the defect location is determined based on inspection of a reticle used to print a portion of the device on the wafer. In another such embodiment, the defect location is determined based on design analysis of the device performed to determine critical hot spots for defect detection or monitoring.
In another embodiment, the one or more defect-related functions include determining, in real time during defect review of a defect location on the wafer, if a defect at the defect location is electrically relevant to the device. In another embodiment, the one or more defect-related functions include determining, in real time during defect review of a defect location on the wafer, if additional spot inspections of other parts of the device in the same electrical path as a defect at the defect location are to be performed to assist in determining electrical relevance of the defect. In an additional embodiment, the one or more defect-related functions include determining, in real time during defect
Atty Dkt No 56197200-490/PI958PCr Page 9 Baker & McKenzic LLP
NYCDMS/1072587 I review of a defect location on the wafer, if additional spot inspections of other parts of the device in the same electrical path and layer as a defect at the defect location are to be performed to assist in determining electrical relevance of the defect. In a further embodiment, the one or more defect-related functions include determining, in real time during defect review of a defect location on the wafer, if additional spot inspections of other parts of the device in the same electrical path as a defect at the defect location and on layers other than a layer associated with the defect are to be performed to assist in determining electrical relevance of the defect.
In one embodiment, the one or more defect-related functions include binning, in real time during defect review, reviewed defects on the wafer in a pareto chart based on the electrical information. In one such embodiment, the electrical information includes analysis of the design, and the one or more defect-related functions include determining. in real time during the defect review, an electrical-based ranking of an effect on yield of one or more of the reviewed defects,
In one embodiment, the one or more defect-related functions include defect classification, In another embodiment, the one or more defect-related functions include identifying electrically critical hot spots in the device. In an additional embodiment, the one or more defect-related functions include identifying electrically critical hot spots in the device using information from a tool configured to define a process window for fabrication of the device on the wafer, In one such embodiment, the electrically critical hot spots include locations at an edge of the process window for the device and critical to electrical performance of the device. In a further embodiment, the one or more defect- related functions include identifying electrically critical hot spots in the device and selecting the electrically critical hot spots for inspection, monitoring, or some combination thereof during defect review performed on the wafer.
In one embodiment, the method includes generating the electrical information by processing design data for the device, and the design data includes physical layout information, three-dimensional structure information, netlist information, or some combination thereof. In one such embodiment, the one or more defect-related functions include determining a classification or ranking in real time for a defect on the wafer
Att) DkC No 56197200-490/P I 958PC7 Page 10 Baker & McKeiuie LLP
NYCDMS/1072587 1 reviewed by a defect review tool. In another such embodiment, the one or more defect- related functions include using the electrical information and defect information generated by a defect review tool to determine electrical effects on the device due to defects detected on the wafer and using the electrical effects to determine a classification or ranking for the defects.
In one embodiment, prior to defect review, the method includes generating the electrical information using design data for the device to determine locations of the most critical areas of the device in terms of electrical performance and storing the locations in a storage medium accessible by a review tool. In one such embodiment, the one or more defect-related functions include using the locations to monitor the locations for defectivity.
In one embodiment, the one or more defect-related functions include using electrical critical dimension margins for defect classification. In another embodiment, the one or more defect-related functions include real time defect classification based on electrical performance effects on the device due to defects detected on the wafer and sampling the defects for review based on electrical design data. In an additional embodiment, the one or more defect-related functions include determining an effect of a defect on the wafer on electrical performance of the device.
In one embodiment, the one or more defect-related functions include using electrically critical hot spots in the device to perform defect monitoring. In another embodiment, the one or more defect-related functions include using the electrical information to determine additional device sampling based on a defect detected on the wafer.
In one embodiment, the one or more defect-related functions include, during inspection of the wafer, classifying defects detected on the wafer into different memory- specific failure modes. In another embodiment, the one or more defect-related functions include classifying defects detected on the wafer into different memory-specific failure modes. In one such embodiment, the method includes altering a memory redundancy design optimization strategy based on results of classifying the defects. In another such
Atty Dkt No 56197200-490/P1958PCT Page 1 1 Baker & McKenzie LLP
NYCDMS'l 072587 1 embodiment, the method includes determining testing to be performed on the wafer based on results of classifying the defects.
In one embodiment, the one or more defect-related functions include inline memory failure mode classification performed based on defect inspection data and design context surrounding defects detected on the wafer. In another embodiment, the one or more defect-related functions include inline memory failure mode classification, and the inline memory failure mode classification includes registering patterns of interest in the device, performing defect inspection, retrieving GDS clips for every defect detected by the defect inspection, classifying the defects based on GDS matching with known patterns of interest, and based on defect location and defect size, classifying the defects into different memory failure modes.
In one embodiment, the one or more defect-related functions include separating bit failure from word-line or bit-line failure using patterns of interest. In another embodiment, the one or more defect-related functions include separating single bit failure from double-bit failure based on defect size. In an additional embodiment, the one or more defect-related functions include inline memory failure mode classification, and the inline memory failure mode classification includes associating a defect to a layer of the device and location of cells in the device to determine the failure mode of the defect.
Each of the steps of each of the embodiments of the method described above may be further performed as described herein. In addition, each of the embodiments of the method described above may include any other step(s) of any other method(s) described herein. Furthermore, each of the embodiments of the method described above may be performed by any of the systems described herein.
Another embodiment relates to a carrier medium that includes program instructions executable on a computer system for performing a computer-implemented method. The computer-implemented method includes using electrical information for a device being fabricated on a wafer to perform one or more defect-related functions. The one or more defect-related functions include one or more post-mask, defect-related functions.
The carrier medium described above may be further configured as described
Att> Dkt No 56197200-490/P1958PCT Page 12 Baker & McKenzic I LP
NYCDMS/1072587 1 herein. The steps of the computer-implemented method may be further performed as described herein. In addition, the computer-implemented method for which the program instructions are executable may include any other stepfs) of any other method(s) described herein.
An additional embodiment relates to a system configured to perform a computer- implemented method. The system includes a computer system configured to perform the computer-implemented method. The computer-implemented method includes using electrical information for a device being fabricated on a wafer to perform one or more defect-related functions. The one or more defect-related functions include one or more post-mask, defect-related functions.
The system described above may be further configured as described herein. The steps of the computer-implemented method may be further performed as described herein. In addition, the computer-implemented method performed by the computer system may include any other step(s) of any other method(s) described herein.
BRIEF DESCRIPTION OF THE DRAWINGS
Further advantages of the present invention may become apparent to those skilled in the art with the benefit of the following detailed description of the preferred embodiments and upon reference to the accompanying drawings in which:
Fig. 1 is a schematic diagram illustrating one example of hot spots detected at various process window conditions; and
Fig. 2 is a schematic diagram illustrating a side view of various embodiments of a carrier medium that includes program instructions executable on a computer system for performing one or more embodiments of a computer-implemented method described herein and a system configured to perform one or more embodiments of a computer- implemented method described herein.
While the invention is susceptible to various modifications and alternative forms, specific embodiments thereof are shown by way of example in the drawings and may herein be described in detail. The drawings may not be to scale. It should be understood,
Alty Dkt No S6!97200-490/P1958PC r Page 13 Baker & McKenzie LLP
NYCDMS/1072587 1 however, that the drawings and detailed description thereto are not intended to limit the invention to the particular form disclosed, but on the contrary, the intention is to cover all modifications, equivalents and alternatives falling within the spirit and scope of the present invention as defined by the appended claims.
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
As used herein, the term "wafer" generally refers to substrates formed of a semiconductor or non-semiconductor material. Examples of such a semiconductor or non-semiconductor material include, but are not limited to, monocrystalline silicon, gallium arsenide, and indium phosphide. Such substrates may be commonly found and/or processed in semiconductor fabrication facilities.
A wafer may include one or more layers formed upon a substrate. For example, such layers may include, but are not limited to, a resist, a dielectric material, a conductive material, and a semiconductive material. Many different types of such layers are known in the art, and the term wafer as used herein is intended to encompass a wafer including all types of such layers.
One or more layers formed on a wafer may be patterned or unpatterned. For example, a wafer may include a plurality of dies, each having repeatable patterned features. Formation and processing of such layers of material may ultimately result in completed devices. Many different types of devices such as integrated circuits (ICs) may be formed on a wafer, and the term wafer as used herein is intended to encompass a wafer on which any type of device known in the art is being fabricated.
Although embodiments are described herein with respect to wafers, it is to be understood that the embodiments may be used for another specimen such as a reticle, which may also be commonly referred to as a mask or a photomask. Many different types of reticles are known in the art, and the terms "reticle,'" "mask," and "photomask" as used herein are intended to encompass all types of reticles known in the art.
The term "design data" as used herein generally refers to the physical design (layout) of an IC and data derived from the physical design through complex simulation
Atiy Dkt No 561972O0-490/P5958PC Ϊ Page 14 Baker & McKen/ie LLP
NYCDMS/1072587 1 or simple geometric and Boolean operations. In addition, an image of a reticle acquired by a reticle inspection system and/or derivatives thereof can be used as a "proxy" or "proxies" for the design data. Such a reticle image or a derivative thereof can serve as a substitute for the design layout in any embodiments described herein that use design data. The design data may include any other design data or design data proxies described in commonly owned U.S. Patent Application Serial Nos. 11/561 ,735 by Kulkarni et al. and 1 1/561,659 by Zafar et al., both of which were filed on November 20, 2006 and which are incorporated by reference as if fully set forth herein.
One embodiment of a computer-implemented method includes using electrical information for a device being fabricated on a wafer to perform one or more defect- related functions. The one or more defect-related functions include one or more post- mask, defect-related functions. In this manner, the methods described herein can be used for applications of electrical information (e.g., critical path information) in the post-mask environment. In some currently used systems and methods, critical path information is used in the pre-mask environment by using knowledge about the critical paths to optimize the design before the reticle mask is generated.
In one embodiment, the electrical information includes critical path information. The critical path information used in the method may be stored in any suitable data structure in any suitable format. The critical path information may be acquired from a system configured to perform the method, a system other than that configured to perform the method, or a method other than the embodiments of the method described herein. In this manner, the method may use critical path information generated by another source. Such critical path information may be generated or acquired in any suitable manner (e.g., using design data for the device, using layout information for the device, using electrical information for the device, using connectivity information for the device, using circuit information for the device, etc.). Alternatively, the critical path information may be generated by the method using electrical information about the device such as design data stored in a data structure such as a database or any other information described herein.
In one embodiment, the critical path information is acquired from netlist information for the device. The critical path information may be acquired from the netlist
Atty. DkI. No. 56 !97200-490/PI 95 SPCT Page 15 Baker & McKenzie LLP
NYCDMS/I0725S7.1 information for the device in any suitable manner. Although the critical path information may be acquired from the netlist information, the netlist is the connections between cells and does not include the electrical connections (or criticality) of the cells themselves. Thus, the netlist is insufficient to fully describe the electrical information in the design. The critical path information may be acquired from the netlist information by the method described herein. Alternatively, the critical path information may be acquired from the netlist information by a system configured to perform the method, another method, or a system other than that configured to perform the method.
Some embodiments include real time assessment (estimation or determination) of device performance using defect inspection data to analyze yield impact, timing delay, power leakage, resistance-capacitance (RC) variation, or some combination thereof. For example, in one embodiment, the one or more defect-related functions include determining performance of the device using defect inspection data acquired for the wafer and the electrical information. The defect inspection data may be acquired for the wafer using any suitable inspection process and inspection system such as those described herein. For example, the defect inspection data may be acquired using a bright field (BF) inspection system, a dark field (DF) inspection system, an electron beam-based inspection system, or any other suitable inspection system known in the art. The defect inspection data may also include any information generated during inspection of the wafer or by an inspection system used to inspect the wafer. For example, the defect inspection data may include defect locations reported by the inspection system, defect sizes reported by the inspection system, images of the defects such as patch images generated by the inspection system, or any other data, signals, or images generated by the inspection system.
The performance of the device may include any electrical parameters) of the device (e.g., timing, speed, drive current, signal integrity, and power distribution of the device). For example, the performance of the device may be determined based on the critical path information, one or more attributes of the defects detected on the wafer, one or more other attributes of the design of the device, or some combination thereof. The one or more attributes of the defects may include, for example, dimension in the x direction (e.g.. width), dimension in the y direction (e.g., length), dimension in the z
Att> Dkt Mo 56Ϊ97200-490/P1958PCT Page 16 Baker & McKenzie LLP
N YCDMS/1072587 1 direction (e.g., height), shape, brightness, contrast, polarity, texture, one or more attributes of the results of the inspection in which the defects were detected, one or more parameters of the inspection in which the defects were detected, or some combination thereof. The one or more attributes of the defects may be determined in any suitable manner. The one or more attributes of the design of the device may include, for example, redundancy, netlist, dimensions of features in the design, density of features in the design, connectivity of features in the design, or some combination thereof. The one or more attributes of the design of the device may be determined in any suitable manner.
The performance of the device may be determined in a number of different manners. For example, the performance of the device may be determined based on any of the information described above by using the information to simulate the performance of the device. In addition, a range of performances may be simulated (e.g., to estimate the probability that a defect or a group of defects will cause electrical performance to exceed a defined limit. In another example, the performance of the device may be determined using any of the information described above with a correlation between experimental failure analysis (FA) or other electrical test results acquired for the device fabricated on other wafers and one or more attributes of defects detected on the other wafers.
In another embodiment, the one or more defect-related functions include using the electrical information and defect inspection data acquired for the wafer in real time to determine performance of the device. Determining the performance of the device in real time in this embodiment may, therefore, be performed during inspection of the wafer. For example, the performance of the device may be determined for individual defects after detection of the individual defects. In particular, after detection of an individual defect, the performance of the device may be determined for that individual defect even if the inspection process is still being performed on the wafer. In this manner, the performance of the device may be determined for defects during the inspection process before inspection of the entire wafer has been completed. Determining the performance of the device in real time may be performed during other processes in a similar manner. For example, the performance of the device may be determined in real time during a defect review process and/or a metrology process using output acquired during the
Λtty Dkt No 5619720Q-490/P1958PCT Page 17 Baker & McKenzie LLP
NYCDMS/1072587 1 process(es) for defects on the wafer in combination with the electrical information and possibly any other design and/or defect information described herein.
In an additional embodiment, the one or more defect-related functions include determining potential yield impact of defects on the device using defect inspection data acquired for the wafer and the electrical information. The defect inspection data may include any of the defect inspection data described herein and may be acquired as described herein. In one such embodiment, the one or more defect-related functions include using the critical path information and defect inspection data acquired for the wafer to determine potential yield impact of defects, detected by inspection of the wafer, on the device. For example, the potential yield impact of the defects on the device may be determined or predicted based on the critical path information, one or more attributes of the defects, one or more attributes of the design of the device, any other suitable information, the performance of the device, which may be determined as described above, or some combination thereof. The critical path information, the attribute(s) of the defects, and the attribute(s) of the design may include any such information described herein.
In one example, the critical path information, attribute(s) of the design such as feature size, pattern density, etc., the pattern failure caused by the defects, the locations of the defects (e.g., on top of a layer, embedded in a layer, etc.), one or more attributes of the defects such as defect size, or any other suitable information may be used to determine a likelihood that a defect will kill the device and/or alter one or more electrical parameters of the device and thereby impact yield. For example, the critical path is the path where timing of the device, for example, would suffer if there was a small pattern defect. The small defect in another part of the circuit would have a much lower impact on timing. So the defect in the critical path impacts the parametric yield (or bin/sort of how many working devices on the wafer are fact) not the typical device yield (how many defects on a wafer work).
In this manner, the one or more defect-related functions may include using critical path information (e.g., from netlist) to identify potential yield impact of defects detected during inspection. Traditionally, understanding of yield impact is determined based on limited information such as defect attributes (e.g., size, type, etc.) but not on whether the
Att> Dkt No 56197200-490/P I 958PCT Page 18 Baker & McKenzie LLP
NYCDMS/1072587 1 defect is occurring on or near a critical path or a non-critical path. As such, a defect can be correlated to yield or parametric data, but generating correlations in such a manner is disadvantageous because the methods generally take a substantially long time to complete the cycle (e.g., from defect detection to FA). The methods described herein can determine the potential yield impact of defects relatively quickly and, therefore, the potential yield impact may be determined in real time.
The potential yield impact may be determined for individual defects, individual groups of defects, or all of the defects detected in the device area on the wafer. The individual groups of defects may be generated by binning defects based on design data proximate to positions of the defects in design data space, and such binning may be performed as described in the above-referenced patent applications by Kulkarni et al. and Zafar et al. In addition, the defects in the device area on the wafer may be determined as described in these patent applications. Furthermore, the positions of the defects with respect to critical and non-critical paths of the device may be determined using methods described in these patent applications (e.g., by aligning the inspection data to the design data and determining the positions of the defects in design data space based on results of the aligning step, which can be used to determine the positions of the defects with respect to critical paths, non-critical paths, and other features of the design of the device).
In a further embodiment, the one or more defect-related functions include using the electrical information and defect inspection data acquired for the wafer in real time to determine potential yield impact of defects on the device. Determining potential yield impact of the defects may, therefore, be performed in real time during inspection of the wafer. For example, the potential yield impact of individual defects may be determined for each defect after each defect has been detected. Determining the potential yield impact in real time may be further performed as described herein and during other process(es) as described herein.
In another embodiment, the one or more defect-related functions include determining electrical relevancy of defects detected on the wafer. For example, the one or more defect-related functions may include using electrical critical path and defect inspection data to determine electrical yield relevancy. The electrical critical path and
Am Dkt No 56197200-490/P1958PCI Page 19 Baker & McKenzie LLP
NYCDMS/1072587 1 defect inspection data may include any such information and data described herein. In this manner, the one or more defect-related functions may include using critical path information to understand electrical relevancy of defect data. For example, defects that are detected on or near a critical path may be determined to have a higher electrical relevancy than defects that are not detected on or near a critical path. The positions of the defects with respect to critical paths in the device may be determined as described above. However, not all defects that are located on or near a critical path may be highly electrically relevant. For instance, defects that are relatively small in size and/or are located near but spaced from a critical path may be determined to have a lower electrical relevancy than defects that are relative large in size and/or are located on the critical path. The electrical relevancy of the defect may, therefore, describe how relevant the defect is to the electrical parameters of the device. However, this may not always be true. For example, the electrical relevancy of the defects may vary depending on where the defect happens, the properties of the defect, and the properties of the material the defect is displacing (e.g., a tiny defect in a liner may have much more impact than a large dielectric defect next to a metal line). In addition, or alternatively, the index may be used to rank the relevancy generally, which does not have to be perfect and is better than not ranking at all. The electrical parameters of the device may include any of the electrical parameters described herein.
In one such instance, the electrical relevancy may be determined using one or more rules or one or more algorithms that are based on attributes of the defects, attributes of the critical path information, possibly other information about the design of the device, or any other variables that may affect if and how the defect alters one or more electrical parameters of the device. In addition, the sensitivities of the electrical parameters of the device to changes in the different variables may be used to weight the variables differently in the rules or algorithms such that the electrical relevancies are determined taking into account the importance of the different variables. In this manner, the rules or algorithms may be used to determine a likelihood that a defect will alter one or more electrical parameters of the device. Defects that have a higher likelihood of altering the one or more electrical parameters of the device may be assigned a higher electrical
Atty Dkt No 56Ϊ97200-49G/P1958PCT Page 20 Baker & McKenzie LLF
NYCDMS/1072SS7 1 relevancy than defects that have a lower likelihood of altering the one or more electrical parameters.
The one or more defect-related functions may also include modeling electrical parameters of the device about a defect location and determining electrical relevancy of a defect at the defect location based on results of the modeling. In this manner, the results of the modeling step may be used to determine electrical relevancy of the defect. For example, the results of the modeling step may be used to determine how the defect alters one or more electrical parameters of the device being fabricated using the design.
Modeling the electrical parameters of the device in this embodiment may be performed using any appropriate method or system known in the art. The electrical parameters of the device that are modeled may include any one or more electrical parameters of the device. The electrical relevancy of the defect may be determined using the modeled electrical parameters and the as-designed electrical parameters. For example, the modeled electrical parameters may be compared to the as-designed electrical parameters to determine the degree to which the defect alters the electrical parameters. The electrical relevancy may then be determined based on the degree to which the defect alters the electrical parameters (e.g., a defect that alters the electrical parameters to a large degree is more electrically relevant than a defect that alters the electrical parameters to a lesser degree). The electrical relevancy may be determined in a similar manner using the modeled electrical parameters and a range of suitable electrical parameters of the device. For example, the modeled electrical parameters may be compared to this range, and where the modeled electrical parameters fall within or outside of this range may be used to determine electrical relevancy. In one such example, if the modeled electrical parameters are near or outside of the acceptable range, the defect may be determined to be more electrically relevant than if the modeled parameters are inside of the acceptable range. The electrical relevancy may also be determined based, at least in part, on information from a number of different sources including, but not limited to, simulation, optical inspection results, defect review results, electrical testing results, or some combination thereof.
Atty DkI No 56197200-490/P1958PCr Page 21 Baker & McKeπzie LLP
NYCDMS/i 072587 1 In an additional embodiment, the one or more defect-related functions include determining timing delay of the device due to defects detected on the wafer using defect inspection data acquired for the wafer and the electrical information. The timing delay of the device due to the defects may be determined as described above (e.g., in which timing or timing delay is determined as an electrical parameter of the device). The timing delay may be determined based on the critical path information, the defect inspection data, and any other information as described herein (e.g., in which timing or timing delay is determined as an electrical parameter of the device). In a further embodiment, the one or more defect-related functions include using the electrical information and defect inspection data acquired for the wafer in real time to determine timing delay of the device due to defects detected on the wafer. The timing delay of the device due to the defects may be determined in real time as described further herein.
In one embodiment, the one or more defect-related functions include determining power leakage of the device due to defects detected on the wafer using defect inspection data acquired for the wafer and the electrical information. The power leakage of the device due to the defects may be determined as described above (e.g.. in which power or power leakage is determined as an electrical parameter of the device). In addition, the power leakage may be determined based on the critical path information, the defect inspection data, and any other information as described herein (e.g., in which power or power leakage is determined as an electrical parameter of the device). In another embodiment, the one or more defect-related functions include using the electrical information and defect inspection data acquired for the wafer in real time to determine power leakage of the device due to defects detected on the wafer. The power leakage of the device due to the defects may be determined in real time as described further herein. In a similar manner, the one or more defect-related functions may include determining cross talk due to the defects on the wafer using defect inspection data acquired for the wafer and the electrical information. Determining the cross talk may or may not be performed in real time.
In an additional embodiment, the one or more defect-related functions include determining RC variation of the device due to defects detected on the wafer using the
Any Dkt. No 56197200-490/P1958PCT Page 22 Baker & McKenzie LLP
NYCDMS/1072587 1 electrical information and defect inspection data acquired for the wafer. The RC variation of the device may be determined as described above. In addition, the RC variation of the device may be determined based on the critical path information, defect inspection data, any other information, or some combination thereof as described further herein. For example, the critical path information and defect inspection data may be used in combination with information about all defects, relatively accurate sizes and locations of the defects in three dimensions (e.g., x, y, and z), information about the processing at the locations of the defects such as thicknesses and variations in material properties (e.g., dielectric constant) across the entire device, and defect properties to determine RC variation. Such information may be used with a model to introduce all of the defects across the entire device to simulate the electrical performance. The RC or other properties are a function of frequency so the output from the model may be a curve and not a single value. The curve may then be converted to a classification. In addition, since circuits are three-dimensional by nature, multiple inspection layer results may be input to the model used to determine the RC or other properties. The one or more defect-related functions may include using the electrical information and defect inspection data acquired for the wafer in real time to determine RC variation of the device due to defects detected on the wafer. The RC variation of the device due to the defects may be determined in real time as described further herein.
One benefit of the embodiments described herein is that work in progress (WIP) at risk may be reduced by using electrical information to model electrical performance instead of relying solely on electrical test data to measure the performance. In contrast, relying solely on electrical test data to measure the performance can take many weeks before an issue is discovered putting many wafers potentially at risk.
In some embodiments, the one or more defect-related functions include identifying critical defects on the wafer. For example, the one or more defect-related functions may include using critical path information (e.g., from netlist) to identify critical defects. In one such example, the critical path information may be used to determine if the defects are located on or near critical paths of the device. Defects that are located on or near critical paths of the device may be identified based on locations of
Att> Dkt No 561972O0-49O/Pl958PCr Page 23 Baker & McKenzie LLP
NYCDMS/10725S7 I the critical paths in the device and locations of the defects with respect to the device. The locations of the defects with respect to the device or design data of the device may be determined as described in the above-reference patent applications by Kulkarni et al. and Zafar et al. In this manner, the locations of the defects with respect to the device may be compared to the locations of the critical paths within the device, and defects that are located on or near (e.g., within a certain predetermined range of) the critical paths may be identified as critical defects or potentially critical defects by the method.
In another embodiment, the one or more defect-related functions include separating defects detected in areas of the wafer corresponding to electrically sensitive areas of the device from other defects detected on the wafer. For example, the one or more defect-related functions may include using critical path information to separate defects in electrically sensitive areas. In one such example, the critical path information may be used to determine electrically sensitive areas in the device. In particular, areas of the device that include one or more critical paths may be determined as electrically sensitive areas of the device. In addition, areas of the device that do not include one or more critical paths may be determined as non-electrically sensitive areas of the device. In this manner, defects that are located in the electrically sensitive areas may be separated from defects that are not located in the electrically sensitive areas. Determining if the defects are located in electrically sensitive areas may be performed as described above.
Separating the defects in this manner may be advantageous for a number of reasons. For instance, separating the defects as described above may be performed prior to further processing of the inspection data or processes performed on the defects. In particular, the results of separating the defects as described above may be used for defect sampling such that only defects located in electrically sensitive areas are sampled for review and/or metrology. In another instance, the defects may be separated as described above prior to determining the yield impact of the defects such that the yield impact may be determined only for defects located in electrically sensitive areas. In addition, the electrical test performed on the device may be tailored based on the distribution of the defects found.
Atly Dkt No 56197200-490/P1958PC1 Page 24 Baker & McKeti?ιe LLP
N YCDMS/1072587 1 In an additional embodiment, the one or more defect-related functions include identifying one or more inspection areas on the wafer. For example, the one or more defect-related functions may include using critical path information (e.g., from netlist) to identify inspection area. In one such example, the critical path information and information about how the device is fabricated on the wafer (e.g., orientation and layout of dies on the wafer) may be used to determine the locations of critical paths on the wafer. The inspection areas on the wafer may then be selected based on the locations of the critical paths on the wafer. For example, the inspection areas on the wafer may be selected to include only areas on the wafer in which one or more critical paths are located. The inspection areas may also be selected such that the inspection areas do not include areas on the wafer in which no critical paths are located. In this manner, the area on the wafer that is inspected may be limited to areas in which electrically critical or electrically relevant defects may be located.
Such inspection is advantageous for a number of reasons. For example, such inspection may be performed quicker than inspecting the entire wafer. In addition, such inspection will detect fewer defects that are not of interest such as nuisance defects, defects that are not electrically critical, and/or defects that are not electrically relevant. As such, post-processing of the inspection results may be performed much quicker and much more accurately since the signal-to-noise ratio (S/N) of the inspection results for potential defects of interest (DOI) will be higher due to the elimination of detection of a substantial number of defects not of interest. Furthermore, different parameters for inspection of different inspection areas on the wafer may be determined based on the critical path information possibly in combination with any other information described herein. The different parameters may include, for example, different sensitivities.
The methods described herein may also include creating inspection recipes using design data as described in commonly assigned U.S. Patent Application Serial No. 60/870,724 by Duffy et al. filed December 19, 2006, which is incorporated by reference as if fully set forth herein. The methods described herein may include any step(s) of any method(s) described in this patent application. In addition, systems described herein may be further configured as described in this patent application. Furthermore, the methods
Atty Dkt No 56197200-490/P1958PCT Page 25 Baker & McKenzie LLP
NYCDMS/1072587 1 described herein may include performing any of the step(s) described herein using any of the information described in this patent application in combination with any of the information described herein.
The one or more defect-related functions may also include metrology setup. For example, in one embodiment, the one or more defect-related functions include identifying critical metrology sites on the wafer. For example, the defect-related function(s) may include using critical path information (e.g., from netlist) to identify critical metrology sites. In another embodiment, the one or more defect-related functions include performing metrology in areas of the wafer corresponding to relevant areas of the device. For example, the critical path information can be implemented in metrology systems such as those commercially available from KLA-Tencor, San Jose, California, to perform metrology in the relevant areas of semiconductor devices. The critical metrology sites and areas of the wafer corresponding to relevant areas of the semiconductor devices may be determined as described above and is advantageous for at least the reasons described above. In particular, performing metrology only in critical metrology sites and areas of the wafer corresponding to relevant areas of the semiconductor devices will dramatically improve the throughput of the metrology process. In addition, different parameters of the metrology process may be selected for use at different critical metrology sites such as different measurements to be performed, different sampling, different parameters of the measurements to be performed, or some combination thereof.
The metrology process may include any suitable metrology process, which may include performing any suitable measurements using any suitable metrology system. For example, the metrology process may include measuring critical dimension (CD) using a scatterometry system. In another example, the metrology process may include measuring roughness using an atomic force microscope (AFM). In yet another example, the metrology process may include measuring profile of the defects using a scanning electron microscope (SEM). In addition, the metrology process may include performing one or more measurements of the defects and two or more different measurements of the defects. One of the reasons that metrology may be performed may be to improve estimates by
Any Dkt No 56197200-490/PS958PC F Page 26 Baker & MeKenzie LLP
NYCDMS/1072587 1 reducing the error in the input to a model used to perform estimates based on information about the defects.
In one embodiment, the one or more defect-related functions include separating metrology variations measured in areas of the wafer corresponding to electrically sensitive areas of the device from other measured metrology variations. For example, the one or more defect-related functions may include using critical path information to separate metrology variations in the electrically sensitive areas. The electrically sensitive areas of the device may be determined as described further herein. The positions of the areas on the wafer in which the metrology variations were measured may be determined with respect to the electrically sensitive areas of the device as described further herein. In this manner, the positions of metrology sites with respect to critical paths or other features in the device may be determined and used to separate the metrology variations measured in electrically sensitive areas of the device from variations measured in non-electrically sensitive areas of the device. Separating the metrology variations in electrically sensitive areas from metrology variations in non-electrically sensitive areas may be performed such that the metrology variations in the electrically sensitive areas can be analyzed separately from other metrology variations.
In another embodiment, the one or more defect-related functions include determining electrical relevancy of metrology data acquired for the wafer. For example, the one or more defect-related functions may include using critical path information to understand electrical relevancy of metrology data. In one such example, metrology data acquired on or near one or more critical paths of the device may be assigned a higher electrical relevancy than metrology data that is not acquired on or near a critical path of the device. The position on the wafer at which the metrology data was acquired may be determined with respect to critical paths formed on the wafer as described further herein. In this manner, the positions of the metrology sites with respect to critical paths or other features in the device may be determined and used to determine the electrical relevancy of the metrology data acquired at individual metrology sites. The metrology data may include results of any metrology process or processes described herein.
Atty Dkt No 56!97200-490/P I 958PCr Page 27 Baker & McKenzie LLP
NYCDMS/1 G725&7 ! In an additional embodiment, the electrical information includes critical path information, and the critical path information is generated by an electronic design automation (EDA) tool. For example, the critical path information may be available from EDA tools such as Blaze MO™ Optimization Software commercially available from Blaze DFM, Inc., Sunnyvale, California, and tools commercially available from Cadence Design Systems, Inc., San Jose, California
In one embodiment, the electrical information includes critical path information, and the one or more defect-related functions include defect sampling in which only defects on the wafer located on or near critical paths in the device are selected for review. In this manner, the one or more defect-related functions may include using critical path information to create sampling to review only the defects that are on or near the critical paths. The defects that are located on or near the critical paths in the device may be identified as described herein. Sampling the defects in such a manner may also be performed for other processes such as metrology. Sampling the defects in such a manner is advantageous since defect review results that are particularly relevant to the electrical parameters of the device can be acquired in a relatively short amount of time since the defects that are not located on or near critical paths in the device are not selected for review thereby increasing the relevancy of the results and the turnaround time of review.
In another embodiment, the electrical information includes critical path information, and the one or more defect-related functions include overlaying the critical path information with defect inspection data acquired for the wafer and using results of the overlaying step to identify critical defects on the wafer for review. In this manner, a sequence of analysis steps performed by the method may include defect inspection, overlay with critical path, and identify critical defects to review. Overlaying the critical path information may include determining the position of the defect inspection data in design data space as described further herein and overlaying the defect inspection data in design data space coordinates with the critical path information at the same design data space coordinates. The critical defects may be identified as defects that are located on or near one or more critical paths. The defects identified as critical defects may be selected for review while defects not identified as critical defects may not be selected for review.
Atty Dkt No 56197200-490/P1958PCT Page 28 Baker & McKenzie LLP
N YCDMSπ 072587 S Such defect sampling is advantageous for at least the reasons described herein. In addition, such defect sampling may be performed for one or more other processes performed on the defects such as metrology.
In one embodiment, the method includes using optimized design data for the device to describe defects detected on the wafer by error budget. For example, the method may include using optimized graphical data stream (GDS) data (e.g., Blaze MO output) to describe defect by error budget. In a similar manner, optimized GDS data may be used to describe each critical path by critical error budget, i.e., if the defect or a collection of defects exceeds the critical budget the chip will be slower, etc. In this manner, this approach is not limited to the design optimization use case. In another embodiment, the method includes evaluating optimization of design data for the device by searching the design data for patterns of interest (POI), the POI include patterns corrected and not corrected by the optimization, and the method includes comparing a failure rate of corrected and non-corrected patterns in the design data for the device. For example, the method may include confirming optimization of design data for the device by searching the design data for one or more POI. Searching the design data for one or more POI may be performed in any suitable manner. For example, searching the design data for one or more POl may be performed as described in the above-reference patent applications by Kulkarni et al. and Zafar et al. In addition, the POI may be selected or identified as described in this patent application.
In this manner, the method may include confirming optimization by Blaze through use of POI search. For example, the POI search may identify instances of the POI in the design data, and the output of the optimization by Blaze may be used to determine if all or some of the instances of the POI have been optimized. In this manner, the method may include verification or analysis of optimization of the design data and possibly feedback control of the optimization process. In addition, the method may include comparing a failure rate of corrected and non-corrected patterns in design data for the device. For example, the method may include confirming optimization by Blaze through use of POI search, which may be performed as described above, and comparing the failure rate of corrected vs. non-corrected patterns. The failure rate may be determined in any suitable
Atty. Dkt No 56197200-490/P1958PCT Page 29 Baker & McKenzie LLP
NYCDMS/1072587 I manner (e.g.. using defect inspection data and positions of defects detected on one or more wafers with respect to the corrected and/or non-corrected patterns, which may be determined as described above).
In one embodiment, the one or more defect-related functions include creating a systematic pareto by critical and non-critical areas of the device to identify critical and non-critical systematic defects on the wafer. In this manner, the one or more defect- related functions may include systematic pareto by critical and non-critical area thereby identifying critical systematic defects. The critical and non-critical areas may be determined as described herein (e.g., critical areas may include areas in which one or more critical paths are located and non-critical areas may include areas in which no critical paths are located). Systematic pareto may include comparing the positions of the critical and/or non-critical areas to positions of the systematic defects to identify the systematic defects located in critical and/or non-critical areas. Systematic defects located in critical areas may then be identified as critical systematic defects while systematic delects located in non-critical areas may be identified as non-critical systematic defects.
In another embodiment, the electrical information includes critical path information, and the one or more defect-related functions include separating systematic defects detected on the wafer located in critical paths of the device from systematic defects detected on the wafer located in non-critical paths of the device. In this manner, the critical path information may be used to separate critical and non-critical systematic defects. The systematic defects may be identified in any suitable manner. For example, defects detected on the wafer may be identified as systematic defects as described in the above-referenced patent applications by Kulkarni et al. and Zafar et al. The systematic defects detected on the wafer may be determined to be located on or near critical or non- criticai paths of the device as described above. Separating the systematic defects located in critical paths from systematic defects located in non-critical paths is advantageous for at least the reasons described further herein. In addition, separating the systematic defects in this manner is particularly advantageous for systematic defects that impact electrical performance.
Att> Dkt No 56197200-490/P1958PCT Page 30 Baker & MclCerme LLP
NYCDMS/1072587 1 In an additional embodiment, the electrical information includes critical path information, and the one or more defect-related functions include separating random defects detected on the wafer located in critical paths of the device from random defects detected on the wafer located in non-critical paths of the device. In this manner, the critical path information may be used to separate critical and non-critical random defects. The random defects may be identified in any suitable manner. For example, defects detected on the wafer may be identified as random defects as described in the above - referenced patent applications by Kulkarni et al. and Zafar et al. Random defects may be separated in this embodiment as described above with respect to separation of systematic defects. Separating random defects located in critical paths from random defects located in non-critical paths is advantageous for at least the reasons described herein.
In one embodiment, the one or more defect-related functions are performed for defects detected on the wafer by BF inspection. For example, the methods described herein can be implemented on BF inspection systems such as those commercially available from KLA-Tencor. In addition, the methods described herein may be used with such systems and in conjunction with design-based binning (DBB) methods, which may be performed as described in the above-reference patent applications by Kulkarni et al. and Zafar et al., as a way to separate systematic and random defects in critical and non- critical paths.
In some embodiments, the electrical information includes critical path information, and the one or more defect-related functions include using the critical path information to setup a metrology process for the wafer such that changes in CDs of features of the device on the wafer are measured and can be correlated to parametric performance of the device. In this manner, the one or more defect-related functions may include using critical path information to setup metrology where any change in CDs is important and enable users to correlate to parametric performance. The metrology process may be setup in this embodiment as described further herein. In addition, the change in CDs may be correlated to parametric performance of the device as described further herein.
Att> Dkt No 56197200-490/P195SPCT Page 3 f Baker & McKenzie LLP
NYCDMS/1072587 i The methods described herein may be used to apply critical path information to defect inspection, review, and metrology. For example, in one embodiment, the one or more defect-related functions include inspection of the wafer. Inspection of the wafer may be performed based on the critical path information as described herein. In an additional embodiment, the one or more defect-related functions include defect review of the wafer. Defect review may be performed based on the critical path information as described herein. For example, the defects that are reviewed may be determined based on the critical path information as described herein. In addition, one or more other parameters of review may be selected and/or altered based on the critical path information described herein. The one or more parameters of review that are selected and/or altered based on the critical path information may include any data acquisition parameters (e.g., imaging parameters) and/or any data processing parameters (e.g., classification parameters) of the review process. In a further embodiment, the one or more defect- related functions include metrology of the wafer. Metrology of the wafer may be performed based on the critical path information as described further herein.
Embodiments described herein may also include inline defect classification of memory failure modes, which is also referred to herein as "inline bitmapping." For example, in one embodiment, the one or more defect-related functions include, during inspection of the wafer, classifying defects detected on the wafer into different memory- specific failure modes. In particular, the methods described herein can be used to provide a method to classify defects detected by wafer inspection systems such as those commercially available from KLA-Tencor during wafer inspection time into different memory (e.g., DRAM, Flash, SRAM) specific failure modes such as single-bit failure, double-bit failures, bit-line failure, and word-line failure. For example, as described further herein, design context can be used to classify memory failure modes during wafer inspection time.
In one embodiment, the one or more defect-related functions include classifying defects detected on the wafer into different memory-specific failure modes, and the method includes altering a memory redundancy design optimization strategy based on results of classifying the defects. For example, this classification will enable early
Atty Dkt No 56197200-490/P19S8PCT Page 32 Baker Si McKen/ie LLP
NYCDMS/1072587 1 warning of relatively low yielding wafers as well as better memory redundancy design optimization strategy.
In another embodiment, the one or more defect-related functions include classifying defects detected on the wafer into different memory-specific failure modes, and the method includes determining testing to be performed on the wafer based on results of classifying the defects. For example, while such inline classification may not show absolute yield loss, it may be used to disposition wafers for scrapping and/or to define further testing.
Traditional failure mode classification is performed after the entire wafer manufacturing process is completed, which is typically about 1 month to about 2.5 months of cycle time. Users may then overlay a defect map from different inspection layers with bitmapping results to troubleshoot the possible root cause of memory failures. Unfortunately, not every wafer is inspected inline and without a direct correlation to the defect map, users may not be able to determine and/or confirm the root cause easily. Therefore, the old method of failure mode classification does not provide early warning of low yielding wafers and better WIP planning. The old method of failure mode classification also does not enable better defect sampling and review strategy.
In one embodiment, the one or more defect-related functions include inline memory failure mode classification performed based on defect inspection data and design context surrounding defects detected on the wafer. In this manner, the one or more defect-related functions may include inline memory failure mode classification that takes into account defect inspection data such as defect location, defect size, and surrounding design context such as poly, metal, and specific layout pattern. In one embodiment, the one or more defect-related functions include inline memory failure mode classification, and the inline memory failure mode classification includes registering POI in the device, performing defect inspection, retrieving GDS clips for every defect detected by the defect inspection, classifying the defects based on GDS matching with known POI, and based on defect location and defect size, classifying the defects into different failure modes. For example, the one or more defect-related functions may include the following steps: register a POI such as a single bit layout. Registering the pattern may be performed using
Att> Dkt No 56197200-490/PI 958PC I Page 33 Baker & McKenzse LLP
NYCDMS/S072587 1 DBB, which may be an option on some inspection systems commercially available from KLA-Tencor. In addition, DBB may be performed as described in the above-referenced patent applications by Kulkarni et al. and Zafar et al. The steps may also include performing defect inspection. In addition, the steps may include retrieving a GDS clip for every defect detected by inspection (e.g., by an inspection system commercially available from KLA-Tencor). The steps may further include classifying defects based on GDS matching with known POI. Furthermore, the steps may include, based on defect location as well as defect size, classifying each defect into single-bit, double-bit, bit-line, or word- line failure modes.
In one embodiment, the one or more defect-related functions include inline memory failure mode classification, and the inline memory failure mode classification includes associating a defect to a layer of the device and location of cells in the device to determine the failure mode of the defect. For example, a defect may be associated to its respective layer and location of cells. In the instance of a capacitor layer, the one or more defect-related functions may include identifying defect location to storage capacitor to determine single bit failure. The method may also include word line (poly) inspection. In such inspection, the one or more defect-related functions may include associating defect location to the poly line to determine column failure. The method may further include bit line inspection. In such inspection, the one or more defect-related functions may include associating the defect location to the bit line to determine row failure.
In this manner, the one or more defect-related functions may include using design data to classify defects during wafer inspection time. For example, in one embodiment, the one or more defect-related functions include separating bit failure from word-line or bit-Hne failure using POL In another embodiment, the one or more defect-related functions include separating single bit failure from double-bit failure based on defect size. For example, the one or more defect-related functions may include using POI to separate bit failure from word-line or bit-line failure and/or may use defect size to separate single bit failure from double-bit failure.
The methods described above have the following advantages: inline disposition that provides better WIP planning, early detection of fatal wafers for faster yield learning,
Att> Dkt No S6197200-490/P195SPCT Page 34 Baker & McKerwie LLP
M YCDMS/1072587 1 better sampling and review efficiency, and better memory redundancy design optimization. In addition, memory customers represent more than 40% of wafer inspection business, and customers want to ramp yield faster and optimize its redundancy strategy better. With the embodiments described herein, inspection systems can be used to provide high value information several weeks earlier than the current methodology and allow memory customers to inspect more layers with a higher sampling rate. Another interesting application for the embodiments described herein is for embedded SRAM products from fabless customers. Most of the time, these customers do not have the information to decide how much redundancy is required, and foundries do not know how to help these fabless customers. However, the embodiments described herein can be used to decide how much redundancy is required based on information that can easily be generated in the foundry and supplied to the customer. The embodiments described above for inline defect classification of memory failure modes may include any other step(s) of any other method(s) described herein.
In one embodiment, the electrical information includes netlist data. In another embodiment, the electrical information includes netlist data provided to an inspection system used to inspect the wafer. For example, the electrical information from design data can be made available to an inspection system. The electrical information made available can have several forms. In an additional embodiment, the electrical information includes critical timing paths in the device extracted from netlist data. In a further embodiment, the electrical information includes electrical circuit information. For example, the one or more defect-related functions may include using netlist or electrical circuit information in conjunction with electron beam inspection of wafers. The electrical information used in this embodiment may also or alternatively include any other information described herein.
In one embodiment, the one or more defect-related functions include targeted electron beam-based inspection of the wafer. For example, the one or more defect-related functions may include using electrical netlist data for targeted inspection in electron beam wafer defect inspection, In one such example, targeted inspection may include inspecting only areas of the device printed on the wafer indicated as critical by the electrical netlist
Atty DkS No 56197200-490/Pi 958PC T Page 35 Baker & McKenzie LLP
N YCDVlS/1072587 1 data. In another such example, targeted inspection may include inspecting areas of the device printed on the wafer indicated as critical by the electrical netlist data with different parameters (e.g., higher sensitivity) than areas of the device printed on the wafer that are not indicated as critical by the electrical netlist data. In any of the embodiments described herein, electron beam-based inspection may be performed as described in commonly assigned U.S. Patent Nos. 6,445,199 to Satya et al. and 6,921 ,672 to Satya et al., which are incorporated by reference as if fully set forth herein. The systems described herein may be configured as described in these patents. In addition, the methods described herein may include any other step(s) described in these patents.
In another embodiment, the one or more defect-related functions include targeted binning of defects detected by electron beam-based inspection of the wafer. For example, the one or more defect-related functions may include using electrical netlist data for targeted defect binning in electron beam wafer defect inspection. In one such example, the one or more defect-related functions may include using the electrical information for binning defects in n-type areas separately from defects in p-type areas. In another such example, targeted binning may include binning defects detected only in areas of the device printed on the wafer indicated as critical by the electrical netlist data. In an additional such example, targeted binning may include binning defects detected in areas of the device printed on the wafer indicated as critical by the electrical netlist data with different parameters than defects detected in areas of the device printed on the wafer that are not indicated as critical by the electrical netlist data. Binning the defects in such a manner may be advantageous for a number of reasons. For example, binning defects detected in different areas separately may provide binning results that are more accurate and/or that are more relevant to the performance of the device.
In some embodiments, the one or more defect-related functions include automatic care area generation for inspection of the wafer. For example, design data made available to an inspection system can be used for automatic care area generation. The care areas may be determined as described above with respect to inspection areas. In another embodiment, the one or more defect-related functions include automatic setup of inspection care areas for inspection of the wafer based on circuit electrical function of the
AHy Dkt No 56197200-490/P1958PCI Page 36 Baker & McKenzie LLP
WCDMS/] 072587 ! device. The circuit electrical function of the device may be determined in any suitable manner and may include any suitable information. The care areas may include only a portion of features of the device formed on the wafer. For example, the care areas can be used to restrict inspected areas to, for example, only gates or drains of n-type transistors. In each of the embodiments for generating care areas, the one or more defect-related functions may also include selecting one or more parameters of the inspection to be performed in one or more of the care areas. Such parameter(s) may be selected as described further herein.
In one embodiment, the one or more defect-related functions include binning defects detected on the wafer based on electrical activity. This step may be performed using design data that is made available to the inspection system. The electrical activity may include electrical activity exhibited by the defects during electron beam-based inspection. In another embodiment, the one or more defect-related functions include binning defects detected on the wafer based on one or more attributes of the defects. For example, electrical aspects of the design data (such as whether a transistor is n-type or p- type or whether a wire connects to the substrate or is floating) can have a significant impact on the brightness or contrast of an electron beam image. By incorporating such knowledge into the inspection process, improved detection and binning of defects is possible. In another example, it is possible that a gate connection that is darker than normal indicates a killer defect in the gate oxide, while a gate connection that is brighter than normal indicates a nuisance defect. Therefore, such defects can be binned separately based on brightness. In an additional embodiment, the one or more defect-related functions include binning defects detected on the wafer based on electrical environment of the defects. For example, the defects may be binned based on electrical activity exhibited by an area surrounding the defects (e.g., a neighborhood of the defects), which may indicate the effect that the defects have on the device.
In a further embodiment, the one or more defect-related functions include binning defects detected on the wafer based on connectivity of features of the device such that defects having different connectivity are binned into different groups of defects. For example, by identifying electrical nodes that connect to gates, these particular defects can
Atty Dkt No 56197200-490/P1958PC! Page 37 Baker & McKenzse LLP
NYCDMS/1072587 1 be sorted accordingly as killer or nuisance. The connectivity of the features of the device may be determined in any suitable manner from any of the information described herein. In this manner, defects detected on or near features having different connectivity may be binned into different groups of defects.
Such binning of defects may be advantageous for a number of reasons. For example, the connectivity of the features on which the defects are located or near the defect locations may indicate the electrical relevancy, yield impact, or other attributes of the defects. Therefore, binning the defects based on the connectivity of the features of the device may result in separation of the defects into groups of defects such that different groups of defects have different electrical relevancy, different yield impact, different criticality, etc. In one embodiment, the one or more defect-related functions include binning defects detected on the wafer based on connectivity of features of the device such that nuisance defects are binned into a group different than one or more groups of non- nuisance defects. For example, metal contacts that are connected to a gate could be binned separately from other metal contacts to help sort out nuisance leakage.
In another embodiment, the one or more defect-related functions include determining if multiple electrically defective nodes are connected and binning the multiple electrically defective nodes as a single defect if the multiple electrically defective nodes are connected. For example, at metal levels, multiple nodes that are electrically connected and are electrically defective could be binned as a single defect rather than multiple independent defects.
In one embodiment, the electrical information includes critical timing paths in the device extracted from netlist data. The critical timing paths may be extracted from the netlist data in any suitable manner. In one such embodiment, the one or more defect- related functions include setup of targeted care areas for inspection of the wafer. In this manner, the one or more defect-related functions may include using timing information to drive care areas. The timing information may be used in combination with any other information described herein to drive care areas. Setting up the targeted care areas may be performed as described further herein (e.g., automatically). In another such embodiment, the one or more defect-related functions include setup of targeted care areas
Alt} Dkt No 56197200-490/P1958PCF Page 38 Baker & McK.cn/se 1 LP
NYCDMS/!072587 1 for inspection of the wafer performed such that only the most critical areas of the device are inspected during the inspection. In an additional such embodiment, the one or more defect-related functions include setup of targeted care areas for inspection of the wafer performed such that only the most critical areas of the device are inspected with the highest sensitivity of the inspection. In this manner, critical timing paths extracted from netlist data can be used to setup targeted care areas for inspection so that only the most critical areas of the chip are inspected (or are inspected with the highest available sensitivity).
In some embodiments, the one or more defect-related functions include using electrical connectivity information for the device to predict voltage contrast (VC) gray levels of images acquired during die-to-reference, electron beam-based inspection of the wafer and using the predicted VC gray levels to match the images (i.e., inspection images) to rendered images of design data for the device stored in a data structure. For example, in a die-to-database inspection, the electrical connectivity can be used to help predict VC gray levels to improve matching between the SEM image and the rendered database thereby increasing the accuracy of defect detection and reducing the detection of non-defect events (events detected due to errors in alignment of the images). Such matching may also be performed, for example, to determine the position of a defect with respect to one or more features in the design data during inspection and/or review. Therefore, the matching may be performed with higher accuracy, and any steps performed using the results of the matching may be performed with higher accuracy, In another embodiment, the one or more defect-related functions include rendering images of design data for the device for die-to-reference, electron beam-based inspection of the wafer and storing the rendered images in a data structure. In this manner, the method may be used for improved rendering of a database for die-to-database inspection.
In some embodiments, the one or more defect-related functions include using the electrical information to setup defect review that is focused on a subset of the defects detected on a wafer. For example, the defect review process may be setup by creating a sampling plan for review based on the electrical information. In one such example, the sampling plan may be determined based on the electrical information such that only
Atty Dkt No 56197200-490/P19S8PCT Page 39 Baker & McKeπzie LLP
NYCDMS/1072587 1 defects in electrically critical areas of the device are selected for review or such that a greater number or higher percentage of defects in electrically critical areas of the device are selected for review. The sampling plan may be determined as described further herein. Setting up defect review that is focused on a subset of the defects may also include selecting any one or more other parameters of the defect review such as any of the parameter(s) described herein.
The embodiments of the method described above are advantageous over other methods and systems for a number of reasons. For example, many current inspection methods make no use of design data. Instead, defect detection is achieved by finding differences from die-to-die or cell-to-cell. Existing methods may also miss repeating defects and other subtle defects. In addition, it is difficult to setup recipes that focus only on specific features (e.g., n-type vs. p-type transistors). Furthermore, when defects are caught, it is only possible to bin them based on size and contrast, not based on their electrical function in the circuit.
In one embodiment, the electrical information includes electrical design information for the device being fabricated on the wafer. In another embodiment, the electrical information includes electrical design analysis. The electrical design analysis may include any analysis known in the art. The electrical information may also or alternatively include any other information described herein.
In another embodiment, the one or more defect-related functions include defect review of the wafer. Defect review of the wafer may be performed based on the electrical design information as described further herein. In addition, the one or more defect- related functions may include performing defect review using electrical design analysis. The defect review may be performed using the electrical design analysis as described further herein.
In an additional embodiment, the one or more defect-related functions include assisting defect review of the wafer in real time. In some embodiments, the one or more defect-related functions include assisting defect review of the wafer by assisting in defect classification. For example, the one or more defect-related functions may include using electrical design information for a device to assist in the defect review process in real
Any Dkt No 56S97200-490/PS958PCT Page 40 Baker & McKenzie LLP
NYCDMS/1072587 1 time by assisting in classification. In this manner, the electrical information may be used to assist in defect classification. Defect classification may be performed using an automatic defect classification (ADC) method or algorithm modified to use the electrical information as a variable for determining the classification. Alternatively, defect classification may be performed using an ADC method or algorithm, and the classifications assigned by the ADC method or algorithm may be compared to the electrical information corresponding to the classified defects. In this manner, assigned classifications may be confirmed, modified, or corrected based on the electrical information. Such assisting of the defect review process may be performed in real time as described further herein. In this manner, the method can use electrical design data to enhance defect review.
In a further embodiment, the one or more defect-related functions include assisting defect review of the wafer by assisting sampling of defects for the defect review. For example, the one or more defect-related functions may include using electrical design information for a device to assist in the defect review process in real time by assisting in a sampling strategy for a given device. Assisting in sampling defects for defect review may include using the electrical information to sample the defects, which may be performed as described further herein. Such assisting of the defect review process may be performed in real time as described further herein. In this manner, the method can use electrical design data to enhance defect review.
In one embodiment, the one or more defect-related functions include making one or more decisions in real time during defect review of a defect location on the wafer. For example, the one or more defect-related functions may include making decisions in real time during defect review for any given defect location. In one such example, the one or more defect-related functions may include making one or more decisions in real time during defect review of a defect location based on the electrical design information. The one or more decisions may be made in real time as described further herein. In addition, the one or more decisions may include any decisions that can be made based on output generated during defect review. For example, the one or more decisions may include deciding a classification for a defect, deciding if metrology should be performed on a
AKy. Dkt. No. 56197200-490/P1958PCT Page 41 Baker & McKenzie LLP
NYCDMS/1072587.1 defect, deciding what type of metrology measurement(s) should be performed on a defect, etc.
In one such embodiment, the defect location is determined based on inspection of a reticle used to print a portion of the device on the wafer. For example, the locations of defects detected on the reticle may be used to determine locations on the wafer that should be reviewed for defects. The locations reviewed based on inspection results of the reticle may include locations on the wafer at which defects were and were not detected. In this manner, defect review may be used to analyze printability of defects on the reticle or a defect capture rate of the inspection process performed on the wafer. The locations of the defects at which defect review is performed may be determined in this embodiment as described further herein. For example, the locations of defects detected on the reticle may be used in combination with the electrical design information corresponding to features on the reticle on which the defects are located or located near the defects to determine which locations on the wafer should be reviewed.
In another such embodiment, the defect location is determined based on inspection of the wafer. For example, the locations of defects detected by inspection of the wafer may be used to determine defect locations at which defect review is performed. The locations of the defects at which defect review is performed may be determined in this embodiment as described further herein. For example, the locations of defects detected on the wafer may be used in combination with the electrical design information corresponding to features of the device on which the defects are located or located near the defects to determine which locations on the wafer should be reviewed.
In an additional such embodiment, the defect location is determined based on design analysis of the device performed to determine critical hot spots for defect detection or monitoring. The design analysis may include any suitable design analysis known in the art. The results of the design analysis may be used to determine critical hot spots in any suitable manner. In some such embodiments, only defect locations on the wafer corresponding to critical hot spots may be selected for defect review. In addition, locations on the wafer corresponding to critical hot spots may be selected for defect review regardless of whether defects were detected at the locations. Such defect review
Atty Dkt No 56197200-490/P1958PCT Page 42 Baker & McKen/ie LLP
NYCDMS/1072587 1 may be advantageously performed to analyze a defect capture rate for the inspection process, to monitor the critical hot spots, to verify the critical hot spots, etc. The locations of the defects at which defect review is performed may be determined in this embodiment as described further herein,
In the embodiments described herein, therefore, the defect location may be provided based on reticle or wafer inspection or may be provided based on design analysis of a device to determine critical hot spots for defect detection or monitoring. The decisions that are made in real time during defect review may fall into several categories such as those described below.
For example, in one embodiment, the one or more defect-related functions include determining, in real time during defect review of a defect location on the wafer, if a defect at the defect location is electrically relevant to the device. In this manner, the method can be used to make a decision about whether the defect is electrically relevant to the device. If the defect is not electrically relevant, it can be ignored. Examples of defects that can be ignored may be redundant contacts. Determining if a defect is electrically relevant to the device may be performed as described further herein.
In yet another such embodiment, the one or more defect-related functions include determining, in real time during defect review of a defect location on the wafer, if additional spot inspections of other parts of the device in the same electrical path as a defect at the defect location are to be performed to assist in determining electrical relevance of the defect. The additional "spot" inspections may essentially be localized inspections of the wafer, which may be performed in a process in which the entire wafer is not inspected. Such additional spot inspections may be performed with one or more different parameters than the inspection that was performed to initially detect the defects. The locations of the other parts of the device in the same electrical path as the defect at the defect location on the wafer may be determined, for example, using the wafer space location of the defect, information about the design data, and information about how the design data was printed on the wafer (e.g., spatial orientation of the design data printed on the wafer). In this manner, the locations of the other parts may be determined in wafer space. Alternatively, the locations of the other parts of the device in the same electrical
Atty. Dkt. No. 56S97200-49G/P1958PCT Page 43 Baker & McKenzie LLP
NYCDMS/1072587 I path as the defect at the defect location may be determined in design space by determining a design data space position of the defect based on the wafer space position of the defect, comparing the design data space position of the defect to the design data in design data space to determine the design data space positions of the locations of the other parts, and determining the locations of the other parts on the wafer using the design data space positions of the other parts with a design data space to wafer space transformation. These steps may be performed as described in the above-referenced patent applications to Kulkarni et al. and Zafar et al. Results of the additional spot inspections may be used to assist in determining electrical relevance of the defect in any suitable manner.
In this manner, the method can be used to perform additional spot inspections of other parts of the device in the same electrical path to assist in determining the electrical relevance of a defect. The additional spot inspections may include spot inspections and/or reviews at the current layer or other layers in the device. For example, in one such embodiment, the one or more defect-related functions include determining, in real time during defect review of a defect location on the wafer, if additional spot inspections of other parts of the device in the same electrical path and layer as a defect at the defect location are to be performed to assist in determining electrical relevance of the defect. The additional spot inspections may be performed as described above. The locations on the wafer at which the additional spot inspections are to be performed may be determined as described herein. In another such embodiment, the one or more defect-related functions include determining, in real time during defect review of a defect location on the wafer, if additional spot inspections of other parts of the device in the same electrical path as a defect at the defect location and on layers other than a layer associated with the defect are to be performed to assist in determining electrical relevance of the defect. The additional spot inspections may be performed as described above. The locations on the wafer at which the additional spot inspections are to be performed may be determined as described herein. The layers on which the additional spot inspections are to be performed may include any one or more layers other than the layer on which the defect was detected.
Λtty. Dkt. No 56197200-490/P1958PCT Page 44 Baker & McKenzic LLP
NYCDMS/1072587.1 In an additional such embodiment, the one or more defect-related functions include binning, in real time during defect review, reviewed defects on the wafer in a pareto chart based on the electrical information. For example, reviewed defects can be binned in a pareto chart based on electrical design information. Binning the reviewed defects in a pareto chart may be further performed as described herein. In some such embodiments, the electrical information includes analysis of the design, and the one or more defect-related functions include determining, in real time during the defect review, an electrical-based ranking of an effect on yield of one or more of the reviewed defects. For example, such design information may include analysis of the design to determine an electrical-based ranking of the effect on yield of any given defect. In one such example, the effect on yield of the one or more reviewed defects may be determined as described further herein, and defects determined to have a greater effect on yield may be assigned a higher electrical-based ranking than defects determined to have lesser effects on yield. Such electrical-based ranking results may be used to prioritize the defects for review, metrology, repair, and/or to prioritize portions of the design data located proximate to the defects for alteration and/or optimization. Such prioritization may be performed as described in the above-referenced patent applications by Kulkarni et al. and Zafar et al.
In one embodiment, the one or more defect-related functions include defect classification. For example, the one or more defect-related functions may include using electrical design information to classify a defect. In one such example, the one or more defect-related functions may include defect classification using electrical design analysis. Classifying the defects in this embodiment may be further performed as described herein.
In another embodiment, the one or more defect-related functions include identifying electrically critical hot spots in the device. For example, the one or more defect-related functions may include identifying electrically critical hot spots in the device based on the electrical design information for the device. Identifying the electrically critical hot spots may be performed as described further herein. In an additional embodiment, the one or more defect-related functions include identifying electrically critical hot spots in the device using information from a tool configured to define a process window for fabrication of the device on the wafer. The tool may include
Atty. Dkt. No. 56197200-490/P1958PCT Page 4S Baker & MclCenzie LLP
NYCDMS/1072587 1 any tool configured to define a process window for fabrication of the device on the wafer experimentally and/or via simulation. For example, the tool may be configured to perform a process window qualification (PWQ) method. The tool may be configured to define a process window for any fabrication process performed on the wafer (e.g., lithography, etch, deposition, etc). In this manner, the method can define a list of electrically critical hot spots either by itself or in conjunction with a tool which defines a process window. In one such embodiment, the electrically critical hot spots include locations at an edge of the process window for the device and critical to electrical performance of the device. In this manner, the electrically critical hot spots may be locations which are both at the edge of the process window for a given device as well as being critical to the electrical performance of the device.
In a further embodiment, the one or more defect-related functions include identifying electrically critical hot spots in the device and selecting the electrically critical hot spots for inspection, monitoring, or some combination thereof during defect review performed on the wafer, In this manner, the hot spots can be scheduled for spot inspection and/or monitoring during the defect review process. Such embodiments may also include selecting one or more parameters to be used for inspection, monitoring, or some combination thereof performed during defect review of the wafer. The one or more parameters may include any of the parameter(s) described herein and may be selected for inspection and/or monitoring of the electrically critical hot spots as described further herein.
Hot spots can also be defects on the mask. In addition, the one or more defect- related functions may include feedback of newly discovered hot spots to monitoring a mask. For example, crystal growth defects on a mask can be removed periodically with a mask clean. If the defects were to occur at a critical path, which may be determined as described further herein, the fab may want to clean the mask sooner than clean would otherwise be performed. The one or more defect-related functions may also include dispositioning the mask such as recommending mask clean, mask repair, or mask replacement based on the hot spots and/or the newly discovered hot spots.
Λtty Dkt No 56197200-490/P 1958PC 1" Page 46 Baker & McKcnzie 1 LP
NYCDMS/ 1072587 ϊ The method may be performed in two modes depending on the information that is desired. For example, in some embodiments, the method includes generating the electrical information by processing design data for the device. Processing the design data may include any suitable processing known in the art. In one such embodiment, the design data includes physical layout information, three-dimensional structure information, netlist information, or some combination thereof. In one such embodiment, the one or more defect-related functions include determining a classification or ranking in real time for a defect on the wafer reviewed by a defect review tool. In another such embodiment, the one or more defect-related functions include using the electrical information and defect information generated by a defect review tool to determine electrical effects on the device due to defects detected on the wafer and using the electrical effects to determine a classification or ranking for the defects. The electrical effects of the defects on the device may be determined as described further herein. In addition, the classification or ranking may be determined as described further herein. Furthermore, determining the classification may essentially bin the defects into a defined class. However, the electrical effects on the device due to the defects detected on the wafer may be used to group or determine a grouping for the defects in a similar manner.
In this manner, in a first mode, a computer system configured to perform the method may process design data such as the physical layout, three-dimensional structure, and netlist information to determine a classification or ranking in real time for a given defect reviewed by a defect review tool. In this mode, the computer system may take information regarding the design as well as defect information generated from the review tool and analyze the electrical effect in real time to make a classification or ranking.
In some embodiments, the method includes, prior to defect review, generating the electrical information using design data for the device to determine locations of the most critical areas of the device in terms of electrical performance and storing the locations in a storage medium accessible by a review tool. Generating the electrical design information may be performed in any suitable manner. Determining locations of the most critical areas of the device in terms of electrical performance may be performed as described further herein. In addition, determining the locations of the most critical areas of the
Alty Dkt No 5619720G-490/P1958PCT Page 47 Baker & McKenzie LLP
KYCDMS/1072587 1 device in terms of electrical performance may include determining the sensitivity of yield to defects in different areas of the device, which may be performed as described in the above-referenced patent applications by Kulkarni et al. and Zafar et al. The areas of the device that are most sensitive to defects may be identified as the most critical areas of the device in terms of performance. Alternatively, the most critical areas of the device in terms of performance may be determined based on one or more attributes of the design data for the device, which may include any of the design data attribute(s) described herein.
The locations determined in this embodiment may be stored in any suitable storage medium in any suitable manner (e.g., as described further herein). The storage medium may be "available" to the review system if the storage medium can be accessed by the review system (e.g., by a transmission medium coupling a computer system of the review system to the storage medium). For example, the storage medium may include a storage medium included in the review system, a storage medium included in a different system (e.g., an inspection system) to which the computer system of the review system is coupled, or a fab database. In a similar manner, the locations of the most critical areas of the device in terms of electrical performance may be stored in a storage medium accessible by a tool that samples for review.
In one such embodiment, the one or more defect-related functions include using the locations to monitor the locations for defectivity. For example, the one or more defect-related functions may include using the locations to monitor the locations of the most critical areas of the device in terms of performance for defectivity.
In this manner, in the second mode, the design information may be analyzed before a review takes place to determine where the most critical areas are for a device in terms of electrical performance. These locations may be stored in a physical storage device and available to the review tool. The review tool can then be used to monitor these critical locations for defectivity.
In one embodiment, the one or more defect-related functions include using electrical CD margins for defect classification. For example, the one or more defect- related functions may include examining a defect that is a relatively small CD variation
Atty Dkt No 56197200-490/P195SPCT Page 4S Baker & McKenzie LLP
NYCDMS/1072587 1 on a structure of the device. The CD may be measured by a defect review system and then processed along with the electrical design data to determine the consequence of the CD change on the electrical performance of a device. Any changes in the electrical performance of the device may be determined based on the CD change as described further herein. If the performance changes past some predefined threshold value, the location may be flagged as defective with a unique classification code. The predefined threshold value may be set by a designer of the device, a customer, or by the method.
In another embodiment, the one or more defect-related functions include real time defect classification based on electrical performance effects on the device due to defects detected on the wafer and sampling the defects for review based on electrical design data. In this manner, the one or more defect-related functions may include real time defect classification based on electrical performance effects of defects as well as additional sampling based on electrical design data. For example, the method may use a real time system to determine the effect of a defect on the electrical performance of the device. In this embodiment, defect classification and sampling may be performed as described further herein. In addition, sampling the defects may be performed in this embodiment for defect review and/or any other process to be performed on the defects (e.g., metrology). Furthermore, the defect classification and sampling may be performed in real time as described further herein.
In an additional embodiment, the one or more defect-related functions include determining an effect of a defect detected on the wafer on electrical performance of the device. The effect of a defect on the electrical performance of the device may be determined as described further herein. In a further embodiment, the one or more defect- related functions include using electrically critical hot spots in the device to perform defect monitoring. In this manner, the one or more defect-related functions may include using electrically critical hot spots to drive defect monitoring. In one such example, the areas of the wafer that are inspected, reviewed, and/or measured may be selected to include areas on the wafer corresponding to the electrically critical hot spots. The areas on the wafer corresponding to the electrically critical hot spots may be determined as described further herein. Such an embodiment may also include selecting one or more
AtTy Dkt No 56197200-49(VP1958PCl Page 49 Baker & McKenzie LLP
NYCDMS/1072587 1 parameters to be used for defect monitoring at the electrically critical hot spots. In still another embodiment, the one or more defect-related functions include using the electrical information to determine additional device sampling based on a defect detected on the wafer. For example, the one or more defect-related functions may include using electrical design information to determine additional device sampling based on a defect. The additional device sampling may be determined as described further herein.
Turning now to the drawings, it is noted that the figures are not drawn to scale. In particular, the scale of some of the elements of the figures is greatly exaggerated to emphasize characteristics of the elements.
Given two or more pieces of data, a direct prediction of the effect(s) on device performance, and therefore yield, of any given defect may be determined. The information used for this determination may include the DOI, the design including the netlist, the current location within one or more process windows, and a characterization of the one or more process windows. The characterization of the one or more process windows may include the locations and other relevant information about any hot spots that appear at various locations within the process windows. For example, as shown in Fig. 1 , process window hot spots may be detected at nominal process window conditions and at conditions that are +1 steps away from nominal, +2 steps away from nominal, etc. As further shown in Fig. 1 , additional hot spots appear as a process drifts within the process window (e.g., away from nominal conditions). Using the combination of the DOI and the current location within the process windows, a system may determine what other known hot spots for that location within the process windows fall on the same electrical path as the DOL Using the combination of these pieces of information, the system can predict the effect on device performance based on analysis of the other hot spots in the electrical path using one or more of the methods described below. The methods described below are just examples of possible methods of analysis, but any method of determining the additive effects of hot spots within a process window may be used.
The one or more defect-related functions may include overlaying the locations of any defects found on the wafer with the electrical path for the DOI and filtering for those which are located on known hot spots. These hot spots may then be assumed to have
Atty Dkt No 56197200-490/P1958PCT Page 50 Baker & McKeruie LLP
NYCDMS/1072587 1 failed in a known manner, and the total effect on the circuit can be estimated. The implication is that the process window can be selected, which optimizes parametric yield by choosing process conditions that are least sensitive to process variation.
The one or more defect-related functions may include assuming that all known hot spots at that location in the process window have failed in a known manner. In this case, the total effect on the circuit for the DOl can be estimated.
The one or more defect-related functions may include using a defect review or metrology tool to perform a spot inspection of the known hot spots for the process window location along the electrical path for the given defect and accurately characterizing the conditions of the hot spots. In this way, the total effect on the circuit for the DOl can be estimated. Such spot inspections may be performed as described in commonly owned U.S. Patent Application Serial No. 1 1/950,961 to Fouquet et al, filed December 5, 2007, which is incorporated by reference as if fully set forth herein. The embodiments described herein may include any step(s) of any method(s) described in this patent application.
Any combination of these or other defect-related functions can be used to automatically assign a classification to a given defect based on its predicted effect on the device performance. In this manner, a yield prediction (parametric yield prediction or electrical performance prediction) can be made from a combination of design and process window. The input to the method may include the defect, design information, and the location in the process window. The analysis may include characterizing the defect, examining hot spots in the electrical path at the process window location using one or more of the following methods: assume all hot spots failed; spot inspections to determine additional defectivity; overlay of detected defects with hot spots to gauge hot spots defectivity; or some combination thereof, and characterizing the effect of defect and hot spot conditions on device performance. The output may include yield relevance of any given defect.
The embodiments of the method described above provide a number of advantages over other methods and systems. For instance, there is currently no known method to analyze a defect on a device based on the electrical design. Currently, the process is
Att> Dkt No 56197200-490/P1958PCT Page 51 Baker & McKenπe LLP
NYCDMS/] 072587 1 reversed such that an electrical defect is found at the end of the line and then FA is performed back to the original process. In addition, without knowing what the electrical effect of any given defect is on the final performance of the device, defects are currently viewed in terms of gross failures such as shorts or breaks. Even relatively small sizing defects of individual structures may be electrically important to a device and cannot currently be accurately classified. Furthermore, there is no current method to relate a given defect to the electrical performance of a device in real time.
All of the embodiments of the method described herein may also include storing results of the one or more defect-related functions or any other steps of the method in a storage medium. The results of the one or more defect-related functions may include any of the results described herein. In addition, the storing step may include storing results of the one or more defect-related functions in addition to any other results of any steps of any method embodiments described herein. The results may be stored in any manner known in the art. In addition, the storage medium may include any storage medium described herein or any other suitable storage medium known in the art. After the results have been stored, the results can be accessed in the storage medium and used by any of the method or system embodiments described herein. Furthermore, the results may be stored "permanently," "semi-permanently," temporarily, or for some period of time. For example, the storage medium may be random access memory (RAM), and the results of the one or more defect-related functions may not necessarily persist in the storage medium.
Each of the embodiments of the method described above may include any other step(s) of any other method(s) described herein. In addition, each of the embodiments of the method described above may be performed by any of the systems described herein.
Another embodiment relates to a carrier medium that includes program instructions executable on a computer system for performing a computer-implemented method. One embodiment of such a carrier medium is shown in Fig. 2. In particular, carrier medium 10 includes program instructions 12 executable on computer system 14 for performing a computer-implemented method. The computer-implemented method includes using electrical information for a device being fabricated on a wafer to perform
Atty Dkt. No 5619720G-490/P1958PCT Page 52 Baker & McKeiuie LLP
NYCDMS/1072587 I one or more defect-related functions. The one or more defect-related functions include one or more post-mask, defect-related functions. The computer-implemented method may perform the defect-related function(s) using any of the electrical information described further herein, and the defect-related function(s) may include any of the defect- related function(s) described herein. The computer-implemented method executable on the computer system by the program instructions may include any other step(s) of any other method(s) described herein. In addition, the carrier medium may be further configured as described herein.
Program instructions 12 implementing methods such as those described herein may be transmitted over or stored on carrier medium 10. The carrier medium may be a transmission medium such as a wire, cable, or wireless transmission link. The carrier medium may also be a storage medium such as a read-only memory, a random access memory, a magnetic or optical disk, or a magnetic tape.
The program instructions may be implemented in any of various ways, including procedure-based techniques, component-based techniques, and/or object-oriented techniques, among others. For example, the program instructions may be implemented using Matlab, Visual Basic, ActiveX controls, C, C++ objects, C#, JavaBeans, Microsoft Foundation Classes (''MFC"), or other technologies or methodologies, as desired.
An additional embodiment relates to a system configured to perform a computer- implemented method. The system includes a computer system configured to perform the computer-implemented method. One embodiment of such a system is shown in Fig. 2. For example, the system may include computer system 14 configured to perform the computer-implemented method. The computer-implemented method includes using electrical information for a device being fabricated on a wafer to perform one or more defect-related functions. The one or more defect-related functions include one or more post-mask, defect-related functions. The computer system may perform the defect-related function(s) using any of the electrical information described further herein, and the defect-related function(s) may include any of the defect-related function(s) described herein. The computer-implemented method performed by the computer system may
Alt> DkX No 501972G0-49O/PI958PCT Page 53 Baker & McKenzse LLP
NYCDMS/1072587 1 include any other step(s) of any other method(s) described herein. The system may be further configured according to any embodiment(s) described herein.
The system may be configured as a stand-alone system that does not form part of a process, inspection, metrology, review, or other tool. In such a system, computer system 14 may be configured to receive and/or acquire data or information from other systems (e.g., inspection data from an inspection system) by a transmission medium that may include "wired" and/or "wireless" portions. In this manner, the transmission medium may serve as a data link between the computer system and the other system. In addition, computer system 14 may send data to the other system via the transmission medium. Such data may include, for example, design data, context data, results of the methods described herein, inspection recipes or other recipes, or some combination thereof.
Computer system 14 may take various forms, including a personal computer system, mainframe computer system, workstation, image computer, parallel processor, or any other device known in the art. In general, the term "computer system" may be broadly defined to encompass any device having one or more processors, which executes instructions from a memory medium.
In other embodiments, however, the system includes inspection system 16. Inspection system 16 is configured to acquire defect inspection data for wafer 18. In embodiments of the system that include the inspection system, computer system 14 may be coupled to the inspection system in any manner known in the art. For example, computer system 14 may be coupled to detector 20 of inspection system 16 such that the computer system can receive inspection data generated by the detector. In addition, the computer system may receive any other output of the detector such as image data and signals. Furthermore, if the inspection system includes more than one detector (not shown), the computer system may be coupled to each detector as described above.
In one embodiment, inspection system 16 includes light source 22. Light source 22 may include any appropriate light source known in the art. Light source 22 may be configured to direct light to beam splitter 24. Beam splitter 24 may be configured to direct light from light source 22 to wafer 18 at a substantially normal angle of incidence. Beam splitter 24 may include any appropriate optical component known in the art. Light
Atty Dkt No 56197200-490/P19S8PCT Page 54 Baker & McKenzie LLP
NYCDMS/1072587 1 reflected from wafer 18 may pass through beam splitter 24 to detector 20. Detector 20 may include any appropriate detector known in the art. Output generated by detector 20 may be used to detect defects on wafer 18. For example, computer system 14 may be configured to detect defects on wafer 18 using output generated by the detector. The computer system may use any method and/or algorithm known in the art to detect defects on the wafer. During inspection, wafer 18 may be disposed on stage 26. Stage 26 may include any appropriate mechanical and/or robotic assembly known in the art. The inspection system shown in Fig. 2 may also include any other suitable components (not shown) known in the art.
As shown in Fig. 2, the inspection system is configured to detect light specularly reflected from the wafer. In this manner, the inspection system shown in Fig. 2 is configured as a BF inspection system. However, the inspection system may be replaced by an inspection system configured as a DF inspection system, an edge contrast (EC) inspection system, an aperture mode inspection system, or any other optical inspection system known in the art, In addition, the inspection system may be configured to perform one or more inspection modes. For example, the inspection system shown in Fig. 2 may be configured to perform DF inspection by altering an angle of incidence at which the light is directed to the wafer and/or an angle at which light is collected from the wafer. In another example, the inspection system may be configured such that one or more optical components (not shown) such as apertures may be positioned in the illumination path and the collection path such that the inspection system can perform EC mode inspection and/or an aperture mode of inspection.
Furthermore, the optical inspection system shown in Fig. 2 may include a commercially available inspection system such as the 2360, 2365, 2371, and 23xx systems that are available from KLA-Tencor. In another embodiment, the optical inspection system shown in Fig. 2 may be replaced by an electron beam inspection system. Examples of commercially available electron beam inspection systems that may be included in the system of Fig. 2 include the eS25, eS30, and eS31 systems from KLA- Tencor. The embodiments of the system shown in Fig. 2 may be further configured as described herein. In addition, the system may be configured to perform any other step(s)
Alty DkI No 56 I 972G0-490/P1958PCT Page 55 Baker & McKenzie LLP
NYCDMS/1072587 1 of any of the method embodiment(s) described herein. The embodiments of the system shown in Fig. 2 have all of the advantages of the method embodiments described above.
Further modifications and alternative embodiments of various aspects of the invention may be apparent to those skilled in the art in view of this description. For example, methods and systems for using electrical information for a device being fabricated on a wafer to perform one or more defect-related functions are provided. Accordingly, this description is to be construed as illustrative only and is for the purpose of teaching those skilled in the art the general manner of carrying out the invention. It is to be understood that the forms of the invention shown and described herein are to be taken as the presently preferred embodiments. Elements and materials may be substituted for those illustrated and described herein, parts and processes may be reversed, and certain features of the invention may be utilized independently, all as would be apparent to one skilled in the art after having the benefit of this description of the invention. Changes may be made in the elements described herein without departing from the spirit and scope of the invention as described in the following claims.
Atty Dkt. No. 56197200-490/P1958PCT Page 56 Baker & McKenzie LLP
NYCDMS/1072587.1

Claims

WHAT IS CLAIMED IS:
1. A computer-implemented method, comprising using electrical information for a device being fabricated on a wafer to perform one or more defect-related functions, wherein the one or more defect-related functions comprise one or more post-mask, defect-related functions.
2. The method of claim 1, wherein the electrical information comprises critical path information,
3. The method of claim 1, wherein the one or more defect-related functions further comprise determining performance of the device using defect inspection data acquired for the wafer and the electrical information.
4. The method of claim 1 , wherein the one or more defect-related functions further comprise using the electrical information and defect inspection data acquired for the wafer in real time to determine performance of the device.
5. The method of claim 1, wherein the one or more defect-related functions further comprise determining potential yield impact of defects on the device using defect inspection data acquired for the wafer and the electrical information.
6. The method of claim 1, wherein the one or more defect-related functions further comprise using the electrical information and defect inspection data acquired for the wafer in real time to determine potential yield impact of defects on the device.
7. The method of claim 1 , wherein the one or more defect-related functions further comprise determining electrical relevancy of defects detected on the wafer.
AUy Dkt No 56 i 97200-49Q/P!9S8PCT Page 57 Baker & McKenzie LLP
NYCDMS/1072587 1
8. The method of claim 1, wherein the one or more defect-related functions further comprise determining timing delay of the device due to defects detected on the wafer using defect inspection data acquired for the wafer and the electrical information.
9. The method of claim 1 , wherein the one or more defect-related functions further comprise using the electrical information and defect inspection data acquired for the wafer in real time to determine timing delay of the device due to defects detected on the wafer.
10. The method of claim 1, wherein the one or more defect-related functions further comprise determining power leakage of the device due to defects detected on the wafer using defect inspection data acquired for the wafer and the electrical information.
1 1. The method of claim 1, wherein the one or more defect-related functions further comprise using the electrical information and defect inspection data acquired for the wafer in real time to determine power leakage of the device due to defects detected on the wafer.
12. The method of claim 1, wherein the one or more defect-related functions further comprise determining resistance-capacitance variation of the device due to defects detected on the wafer using the electrical information and defect inspection data acquired for the wafer.
13. The method of claim 1 , wherein the one or more defect-related functions further comprise using the electrical information and defect inspection data acquired for the wafer in real time to determine resistance-capacitance variation of the device due to defects detected on the wafer.
Atty. Dkt No. 56197200-490/P195SPCT Page 5S Baker & McKenzie LLP
NYCDMS/S072587.1
14. The method of claim 1, wherein the electrical information comprises critical path information, and wherein the critical path information is acquired from netlist information for the device.
15. The method of claim 1 , wherein the one or more defect-related functions further comprise identifying critical defects on the wafer.
16. The method of claim 1 , wherein the one or more defect-related functions further comprise separating defects detected in areas of the wafer corresponding to electrically sensitive areas of the device from other defects detected on the wafer.
17. The method of claim 1 , wherein the one or more defect-related functions further comprise identifying one or more inspection areas on the wafer.
18. The method of claim 1 , wherein the one or more defect-related functions further comprise identifying critical metrology sites on the wafer.
19. The method of claim 1 , wherein the one or more defect-related functions further comprise separating metrology variations measured in areas of the wafer corresponding to electrically sensitive areas of the device from other measured metrology variations.
20. The method of claim 1 , wherein the one or more defect-related functions further comprise determining electrical relevancy of metrology data acquired for the wafer.
21. The method of claim 1, wherein the electrical information comprises critical path information, and wherein the critical path information is generated by an electronic design automation tool.
22. The method of claim 1, wherein the electrical information comprises critical path information, and wherein the one or more defect-related functions further comprise defect
Atty Dkt No 56197200-490/P195SPCT Page 59 Baker & McKenzse LLP
NYCDMS/1072587 1 sampling in which only defects on the wafer located on or near critical paths in the device are selected for review.
23. The method of claim 1 , wherein the electrical information comprises critical path information, and wherein the one or more defect-related functions further comprise overlaying the critical path information with defect inspection data acquired for the wafer and using results of said overlaying to identify critical defects on the wafer for review.
24. The method of claim 1 , further comprising using optimized design data for the device to describe defects detected on the wafer by error budget.
25. The method of claim 1 , further comprising evaluating optimization of design data for the device by searching the design data for patterns of interest, wherein the patterns of interest comprise patterns corrected and not corrected by the optimization, and comparing a failure rate of corrected and non-corrected patterns in the design data for the device.
26. The method of claim 1 , wherein the one or more defect-related functions further comprise creating a systematic pareto by critical and non-critical areas of the device to identify critical and non-critical systematic defects on the wafer.
27. The method of claim 1. wherein the electrical information comprises critical path information, and wherein the one or more defect-related functions further comprise separating systematic defects detected on the wafer located in critical paths of the device from systematic defects detected on the wafer located in non-critical paths of the device.
28. The method of claim 1 , wherein the electrical information comprises critical path information, and wherein the one or more defect-related functions further comprise separating random defects detected on the wafer located in critical paths of the device from random defects detected on the wafer located in non-criticai paths of the device.
Atty Dkt No 56197200-490/P1958PCT Page 60 Baker & McKcnzie LLP
NYCDMS/1072587 !
29. The method of claim 1, wherein the one or more defect-related functions are performed for defects detected on the wafer by bright field inspection.
30. The method of claim 1 , wherein the electrical information comprises critical path information, and wherein the one or more defect-related functions further comprise using the critical path information to setup a metrology process for the wafer such that changes in critical dimensions of features of the device on the wafer are measured and can be correlated to parametric performance of the device.
31. The method of claim 1 , wherein the one or more defect-related functions further comprise inspection of the wafer.
32. The method of claim 1, wherein the one or more defect-related functions further comprise defect review of the wafer.
33. The method of claim I5 wherein the one or more defect-related functions further comprise metrology of the wafer.
34. The method of claim 1, wherein the electrical information comprises netlist data.
35. The method of claim 1 , wherein the electrical information comprises netlist data provided to an inspection system used to inspect the wafer.
36. The method of claim 1 , wherein the electrical information comprises electrical circuit information.
37. The method of claim 1, wherein the one or more defect-related functions further comprise targeted electron beam-based inspection of the wafer.
Atty. Dkt No. 56197200-490/P 1958PCT Page 61 Baker & McKenzie LLP
NYCDMS/1O725S7.1
38. The method of claim 1 , wherein the one or more defect-related functions further comprise targeted binning of defects detected by electron beam-based inspection of the wafer.
39. The method of claim 1. wherein the one or more defect-related functions further comprise automatic care area generation for inspection of the wafer.
40. The method of claim 1, wherein the one or more defect-related functions further comprise automatic setup of inspection care areas for inspection of the wafer based on circuit electrical function of the device.
41. The method of claim 1 , wherein the one or more defect-related functions further comprise binning defects detected on the wafer based on electrical activity,
42. The method of claim 1 , wherein the one or more defect-related functions further comprise binning defects detected on the wafer based on electrical environment of the defects.
43. The method of claim 1 , wherein the one or more defect-related functions further comprise binning defects detected on the wafer based on connectivity of features of the device such that defects having different connectivity are binned into different groups of defects.
44. The method of claim 1 , wherein the one or more defect-related functions further comprise binning defects detected on the wafer based on connectivity of features of the device such that nuisance defects are binned into a group different than one or more groups of non-nuisance defects.
45. The method of claim 1, wherein the one or more defect-related functions further comprise determining if multiple electrically defective nodes are connected and binning
AtIy Dkt No 56197200-490/P1958PCT Page 62 Baker & McKenzie LLP
K YCDMS/Ϊ 072587 1 the multiple electrically defective nodes as a single defect if the multiple electrically defective nodes are connected.
46. The method of claim 1, wherein the electrical information comprises critical timing paths in the device extracted from netlist data, and wherein the one or more defect- related functions further comprise setup of targeted care areas for inspection of the wafer.
47. The method of claim 1 , wherein the one or more defect-related functions further comprise using electrical connectivity information for the device to predict voltage contrast gray levels of images acquired during die-to-reference, electron beam-based inspection of the wafer and using the predicted voltage contrast gray levels to match the images to rendered images of design data for the device stored in a data structure,
48. The method of claim 1 , wherein the one or more defect-related functions further comprise rendering images of design data for the device for die-to-reference, electron beam-based inspection of the wafer and storing the rendered images in a data structure.
49. The method of claim 1, wherein the electrical information comprises electrical design information for the device being fabricated on the wafer.
50. The method of claim 1 , wherein the electrical information comprises electrical design analysis.
51. The method of claim 1 , wherein the one or more defect-related functions further comprise assisting defect review of the wafer in real time.
52. The method of claim 1, wherein the one or more defect-related functions further comprise assisting defect review of the wafer by assisting in defect classification.
Att> Dkt No 56Ϊ97200-490/P I 958PCT Page 63 Baker & McKen/ie U P
N YCDMS/1072587 1
53. The method of claim 1 , wherein the one or more defect-related functions further comprise assisting defect review of the wafer by assisting sampling of defects for the defect review.
54. The method of claim 1 , wherein the one or more defect-related functions further comprise making one or more decisions in real time during defect review of a defect location on the wafer.
55. The method of claim I5 wherein the one or more defect-related functions further comprise making one or more decisions in real time during defect review of a defect location on the wafer, and wherein the defect location is determined based on inspection of a reticle used to print a portion of the device on the wafer.
56. The method of claim 1 , wherein the one or more defect-related functions further comprise making one or more decisions in real time during defect review of a defect location on the wafer, and wherein the defect location is determined based on design analysis of the device performed to determine critical hot spots for defect detection or monitoring.
57. The method of claim 1. wherein the one or more defect-related functions further comprise determining, in real time during defect review of a defect location on the wafer, if a defect at the defect location is electrically relevant to the device.
58. The method of claim 1 , wherein the one or more defect-related functions further comprise determining, in real time during defect review of a defect location on the wafer, if additional spot inspections of other parts of the device in the same electrical path as a defect at the defect location are to be performed to assist in determining electrical relevance of the defect.
Atty Dkt No 56ϊ97200-490/P1958PC r Page 64 Baker & McKerme LLP
NYCDMS/1072587 ]
59. The method of claim 1, wherein the one or more defect-related functions further comprise determining, in real time during defect review of a defect location on the wafer, if additional spot inspections of other parts of the device in the same electrical path and layer as a defect at the defect location are to be performed to assist in determining electrical relevance of the defect.
60. The method of claim 1, wherein the one or more defect-related functions further comprise determining, in real time during defect review of a defect location on the wafer, if additional spot inspections of other parts of the device in the same electrical path as a defect at the defect location and on layers other than a layer associated with the defect are to be performed to assist in determining electrical relevance of the defect.
61. The method of claim 1, wherein the one or more defect-related functions further comprise binning, in real time during defect review, reviewed defects on the wafer in a pareto chart based on the electrical information.
62. The method of claim 1, wherein the one or more defect-related functions further comprise binning, in real time during defect review, reviewed defects on the wafer in a pareto chart based on the electrical information, wherein the electrical information comprises analysis of the design, and determining, in real time during the defect review, an electrical-based ranking of an effect on yield of one or more of the reviewed defects.
63. The method of claim 1, wherein the one or more defect-related functions further comprise defect classification.
64. The method of claim 1 , wherein the one or more defect-related functions further comprise identifying electrically critical hot spots in the device.
Atty. Dkt. No 56Ϊ97200-490/P1958PCT Page 65 Baker & McKenzie LLP
NYCDMS/1072587.1
65. The method of claim 1 , wherein the one or more defect-related functions further comprise identifying electrically critical hot spots in the device using information from a tool configured to define a process window for fabrication of the device on the wafer.
66. The method of claim 1 , wherein the one or more defect-related functions further comprise identifying electrically critical hot spots in the device using information from a tool configured to define a process window for fabrication of the device on the wafer, and wherein the electrically critical hot spots comprise locations at an edge of the process window for the device and critical to electrical performance of the device.
67. The method of claim 1, wherein the one or more defect-related functions further comprise identifying electrically critical hot spots in the device and selecting the electrically critical hot spots for inspection, monitoring, or some combination thereof during defect review performed on the wafer.
68. The method of claim 1, further comprising generating the electrical information by processing design data for the device, wherein the design data comprises physical layout information, three-dimensional structure information, netlist information, or some combination thereof, and wherein the one or more defect-related functions further comprise determining a classification or ranking in real time for a defect on the wafer reviewed by a defect review tool.
69. The method of claim 1 , further comprising generating the electrical information by processing design data for the device, wherein the design data comprises physical layout information, three-dimensional structure information, netlist information, or some combination thereof, and wherein the one or more defect-related functions further comprise using the electrical information and defect information generated by a defect review tool to determine electrical effects on the device due to defects detected on the wafer and using the electrical effects to determine a classification or ranking for the defects.
Any Dkt No 56197200-490/P1958PCI Page 66 Baker & McKewie LLP
NYCDMS/1072587 1
70. The method of claim 1, further comprising prior to defect review, generating the electrical information using design data for the device to determine locations of the most critical areas of the device in terms of electrical performance and storing the locations in a storage medium accessible by a review tool.
71. The method of claim 1 , further comprising prior to defect review, generating the electrical information using design data for the device to determine locations of the most critical areas of the device in terms of electrical performance and storing the locations in a storage medium accessible by a review tool, wherein the one or more defect-related functions further comprise using the locations to monitor the locations for defectivity.
72. The method of claim 1 , wherein the one or more defect-related functions further comprise using electrical critical dimension margins for defect classification.
73. The method of claim 1 , wherein the one or more defect-related functions further comprise real time defect classification based on electrical performance effects on the device due to defects detected on the wafer and sampling the defects for review based on electrical design data.
74. The method of claim 1, wherein the one or more defect-related functions further comprise determining an effect of a defect on the wafer on electrical performance of the device.
75. The method of claim 1 , wherein the one or more defect-related functions further comprise using electrically critical hot spots in the device to perform defect monitoring.
76. The method of claim 1 , wherein the one or more defect-related functions further comprise using the electrical information to determine additional device sampling based on a defect detected on the wafer.
Atly Dfct No 56197200-490/PI958PC I Page 6? Baker & McKerme IXP
NYCDMS/1072587 1
77. The method of claim 1, wherein the one or more defect-related functions further comprise, during inspection of the wafer, classifying defects detected on the wafer into different memory-specific failure modes.
78. The method of claim 1 , wherein the one or more defect-related functions further comprise classifying defects detected on the wafer into different memory-specific failure modes, and wherein the method further comprises altering a memory redundancy design optimization strategy based on results of classifying the defects.
79. The method of claim 1, wherein the one or more defect-related functions further comprise classifying defects detected on the wafer into different memory- specific failure modes, and wherein the method further comprises determining testing to be performed on the wafer based on results of classifying the defects.
80. The method of claim 1 , wherein the one or more defect-related functions further comprise inline memory failure mode classification performed based on defect inspection data and design context surrounding defects detected on the wafer.
81. The method of claim 1, wherein the one or more defect-related functions further comprise inline memory failure mode classification, and wherein the inline memory failure mode classification comprises registering patterns of interest in the device, performing defect inspection, retrieving GDS clips for every defect detected by the defect inspection, classifying the defects based on GDS matching with known patterns of interest, and based on defect location and defect size, classifying the defects into different memory failure modes.
82. The method of claim 1, wherein the one or more defect-related functions further comprise separating bit failure from word-line or bit-line failure using patterns of interest.
Atty. Dkt No 56197200-490/P1958PCT Page 68 Baker & McKenzie LLP
NYCDMS/! 072587.1
83. The method of claim 1 , wherein the one or more defect-related functions further comprise separating single bit failure from double-bit failure based on defect size.
84. The method of claim 1, wherein the one or more defect-related functions further comprise inline memory failure mode classification, and wherein the inline memory failure mode classification comprises associating a defect to a layer of the device and location of cells in the device to determine the failure mode of the defect.
85. A carrier medium, comprising program instructions executable on a computer system for performing a computer-implemented method, wherein the computer- implemented method comprises using electrical information for a device being fabricated on a wafer to perform one or more defect-related functions, and wherein the one or more defect-related functions comprise one or more post-mask, defect-related functions.
86. A system configured to perform a computer-implemented method, comprising a computer system configured to perform the computer-implemented method, wherein the computer-implemented method comprises using electrical information for a device being fabricated on a wafer to perform one or more defect-related functions, and wherein the one or more defect-related functions comprise one or more post-mask, defect-related functions.
Atty Dkt No 56197200-490/P1958PCT Page 69 Baker & McKenzie LLP
NYCDMS/1072587.1
PCT/US2008/050397 2007-01-05 2008-01-07 Methods and systems for using electrical information for a device being fabricated on a wafer to perform one or more defect-related functions WO2008086282A2 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US88361707P 2007-01-05 2007-01-05
US60/883,617 2007-01-05

Publications (2)

Publication Number Publication Date
WO2008086282A2 true WO2008086282A2 (en) 2008-07-17
WO2008086282A3 WO2008086282A3 (en) 2008-09-12

Family

ID=39539505

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2008/050397 WO2008086282A2 (en) 2007-01-05 2008-01-07 Methods and systems for using electrical information for a device being fabricated on a wafer to perform one or more defect-related functions

Country Status (2)

Country Link
US (1) US8194968B2 (en)
WO (1) WO2008086282A2 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160076310A (en) * 2014-12-22 2016-06-30 삼성전자주식회사 Method of Auto Defect Classification
TWI736385B (en) * 2020-08-05 2021-08-11 華邦電子股份有限公司 Failure mode analysis method for memory device

Families Citing this family (70)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9188974B1 (en) 2004-02-13 2015-11-17 Kla-Tencor Technologies Corp. Methods for improved monitor and control of lithography processes
US7570796B2 (en) 2005-11-18 2009-08-04 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
US7676077B2 (en) 2005-11-18 2010-03-09 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
EP1982160A4 (en) 2006-02-09 2016-02-17 Kla Tencor Tech Corp Methods and systems for determining a characteristic of a wafer
JP5024636B2 (en) * 2006-06-27 2012-09-12 日本電気株式会社 Warpage analysis method for board or electronic component, warpage analysis system for board or electronic component, and warpage analysis program for board or electronic component
US7738093B2 (en) 2007-05-07 2010-06-15 Kla-Tencor Corp. Methods for detecting and classifying defects on a reticle
US7962863B2 (en) 2007-05-07 2011-06-14 Kla-Tencor Corp. Computer-implemented methods, systems, and computer-readable media for determining a model for predicting printability of reticle features on a wafer
US8213704B2 (en) 2007-05-09 2012-07-03 Kla-Tencor Corp. Methods and systems for detecting defects in a reticle design pattern
US7796804B2 (en) 2007-07-20 2010-09-14 Kla-Tencor Corp. Methods for generating a standard reference die for use in a die to standard reference die inspection and methods for inspecting a wafer
JP5425779B2 (en) 2007-08-20 2014-02-26 ケーエルエー−テンカー・コーポレーション A computer-implemented method for determining whether an actual defect is a potential systematic defect or a potentially random defect
KR101647010B1 (en) 2008-06-19 2016-08-10 케이엘에이-텐코어 코오포레이션 Computer-implemented methods, computer-readable media, and systems for determining one or more characteristics of a wafer
US9659670B2 (en) 2008-07-28 2017-05-23 Kla-Tencor Corp. Computer-implemented methods, computer-readable media, and systems for classifying defects detected in a memory device area on a wafer
US8775101B2 (en) 2009-02-13 2014-07-08 Kla-Tencor Corp. Detecting defects on a wafer
US8204297B1 (en) 2009-02-27 2012-06-19 Kla-Tencor Corp. Methods and systems for classifying defects detected on a reticle
US8112241B2 (en) 2009-03-13 2012-02-07 Kla-Tencor Corp. Methods and systems for generating an inspection process for a wafer
US9430606B2 (en) * 2010-01-30 2016-08-30 Synopsys, Inc. Failure analysis and inline defect characterization
US8826209B2 (en) * 2011-06-30 2014-09-02 Synopsys, Inc. Automated inline defect characterization
US8781781B2 (en) 2010-07-30 2014-07-15 Kla-Tencor Corp. Dynamic care areas
US8211717B1 (en) * 2011-01-26 2012-07-03 International Business Machines Corporation SEM repair for sub-optimal features
US9170211B2 (en) 2011-03-25 2015-10-27 Kla-Tencor Corp. Design-based inspection using repeating structures
JP5715873B2 (en) * 2011-04-20 2015-05-13 株式会社日立ハイテクノロジーズ Defect classification method and defect classification system
US20120316855A1 (en) * 2011-06-08 2012-12-13 Kla-Tencor Corporation Using Three-Dimensional Representations for Defect-Related Applications
US9087367B2 (en) 2011-09-13 2015-07-21 Kla-Tencor Corp. Determining design coordinates for wafer defects
US8831334B2 (en) 2012-01-20 2014-09-09 Kla-Tencor Corp. Segmentation for wafer inspection
US9595091B2 (en) 2012-04-19 2017-03-14 Applied Materials Israel, Ltd. Defect classification using topographical attributes
US9715723B2 (en) 2012-04-19 2017-07-25 Applied Materials Israel Ltd Optimization of unknown defect rejection for automatic defect classification
US10043264B2 (en) 2012-04-19 2018-08-07 Applied Materials Israel Ltd. Integration of automatic and manual defect classification
US9858658B2 (en) 2012-04-19 2018-01-02 Applied Materials Israel Ltd Defect classification using CAD-based context attributes
US9607233B2 (en) 2012-04-20 2017-03-28 Applied Materials Israel Ltd. Classifier readiness and maintenance in automatic defect classification
US8826200B2 (en) 2012-05-25 2014-09-02 Kla-Tencor Corp. Alteration for wafer inspection
US9189844B2 (en) 2012-10-15 2015-11-17 Kla-Tencor Corp. Detecting defects on a wafer using defect-specific information
US9053527B2 (en) 2013-01-02 2015-06-09 Kla-Tencor Corp. Detecting defects on a wafer
US9134254B2 (en) 2013-01-07 2015-09-15 Kla-Tencor Corp. Determining a position of inspection system output in design data space
US9311698B2 (en) 2013-01-09 2016-04-12 Kla-Tencor Corp. Detecting defects on a wafer using template image matching
US9235885B2 (en) * 2013-01-31 2016-01-12 Applied Materials Israel Ltd System, a method and a computer program product for patch-based defect detection
WO2014149197A1 (en) 2013-02-01 2014-09-25 Kla-Tencor Corporation Detecting defects on a wafer using defect-specific and multi-channel information
US9222895B2 (en) 2013-02-25 2015-12-29 Kla-Tencor Corp. Generalized virtual inspector
US9865512B2 (en) 2013-04-08 2018-01-09 Kla-Tencor Corp. Dynamic design attributes for wafer inspection
US9310320B2 (en) * 2013-04-15 2016-04-12 Kla-Tencor Corp. Based sampling and binning for yield critical defects
TWI627546B (en) * 2013-06-29 2018-06-21 新納普系統股份有限公司 Chip cross-section identification and rendering during failure analysis
US9355208B2 (en) * 2013-07-08 2016-05-31 Kla-Tencor Corp. Detecting defects on a wafer
US10114368B2 (en) 2013-07-22 2018-10-30 Applied Materials Israel Ltd. Closed-loop automatic defect inspection and classification
US9689923B2 (en) * 2013-08-03 2017-06-27 Kla-Tencor Corp. Adaptive electrical testing of wafers
US10127652B2 (en) * 2014-02-06 2018-11-13 Kla-Tencor Corp. Defect detection and classification based on attributes determined from a standard reference image
US9595096B2 (en) * 2014-03-10 2017-03-14 The Boeing Company Composite inspection and structural check of multiple layers
US9816939B2 (en) 2014-07-22 2017-11-14 Kla-Tencor Corp. Virtual inspection systems with multiple modes
US9582869B2 (en) * 2014-10-19 2017-02-28 Kla-Tencor Corp. Dynamic binning for diversification and defect discovery
US10483081B2 (en) 2014-10-22 2019-11-19 Kla-Tencor Corp. Self directed metrology and pattern classification
US10267746B2 (en) 2014-10-22 2019-04-23 Kla-Tencor Corp. Automated pattern fidelity measurement plan generation
US9518934B2 (en) * 2014-11-04 2016-12-13 Kla-Tencor Corp. Wafer defect discovery
US10012599B2 (en) * 2015-04-03 2018-07-03 Kla-Tencor Corp. Optical die to database inspection
US10030965B2 (en) * 2015-05-08 2018-07-24 Kla-Tencor Corporation Model-based hot spot monitoring
US10539612B2 (en) 2015-05-20 2020-01-21 Kla-Tencor Corporation Voltage contrast based fault and defect inference in logic chips
US10018571B2 (en) 2015-05-28 2018-07-10 Kla-Tencor Corporation System and method for dynamic care area generation on an inspection tool
US10062543B2 (en) 2015-06-23 2018-08-28 Kla-Tencor Corp. Determining multi-patterning step overlay error
US9735064B2 (en) * 2015-07-29 2017-08-15 Globalfoundries Inc. Charge dynamics effect for detection of voltage contrast defect and determination of shorting location
JP2018523820A (en) * 2015-07-30 2018-08-23 ケーエルエー−テンカー コーポレイション Dynamic care area generation system and method for inspection tools
US10656531B2 (en) 2015-12-22 2020-05-19 Asml Netherlands B.V. Apparatus and method for process-window characterization
US10416087B2 (en) 2016-01-01 2019-09-17 Kla-Tencor Corporation Systems and methods for defect detection using image reconstruction
US9915625B2 (en) * 2016-01-04 2018-03-13 Kla-Tencor Corp. Optical die to database inspection
US10181185B2 (en) 2016-01-11 2019-01-15 Kla-Tencor Corp. Image based specimen process control
US10043261B2 (en) * 2016-01-11 2018-08-07 Kla-Tencor Corp. Generating simulated output for a specimen
US10276346B1 (en) 2016-03-09 2019-04-30 Kla-Tencor Corporation Particle beam inspector with independently-controllable beams
US10304177B2 (en) * 2016-06-29 2019-05-28 Kla-Tencor Corporation Systems and methods of using z-layer context in logic and hot spot inspection for sensitivity improvement and nuisance suppression
US10115040B2 (en) * 2016-09-14 2018-10-30 Kla-Tencor Corporation Convolutional neural network-based mode selection and defect classification for image fusion
US10191107B2 (en) 2017-02-23 2019-01-29 Globalfoundries Inc. Ranking defects with yield impacts
US10598617B2 (en) * 2017-05-05 2020-03-24 Kla-Tencor Corporation Metrology guided inspection sample shaping of optical inspection results
EP4081927A1 (en) * 2019-12-23 2022-11-02 Synopsys, Inc. Net-based wafer inspection
US11934094B2 (en) * 2021-03-23 2024-03-19 International Business Machines Corporation Mask fingerprint using mask sensitive circuit
TWI769962B (en) * 2021-12-03 2022-07-01 新唐科技股份有限公司 Driving apparatus and detection system for memory module failure detection, and memory device using the driving apparatus

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0032197A1 (en) * 1980-01-09 1981-07-22 International Business Machines Corporation Test procedures for integrated semi-conductor circuits allowing the electric determination of certain tolerances during the photolithographic stages
US5986263A (en) * 1996-03-29 1999-11-16 Hitachi, Ltd. Electron beam inspection method and apparatus and semiconductor manufacturing method and its manufacturing line utilizing the same
US20020033449A1 (en) * 2000-06-27 2002-03-21 Mamoru Nakasuji Inspection system by charged particle beam and method of manufacturing devices using the system
US6445199B1 (en) * 1999-12-14 2002-09-03 Kla-Tencor Corporation Methods and apparatus for generating spatially resolved voltage contrast maps of semiconductor test structures
US20020134936A1 (en) * 2001-03-23 2002-09-26 Miyako Matsui Wafer inspection system and wafer inspection process using charged particle beam
US20030057971A1 (en) * 2001-09-27 2003-03-27 Hidetoshi Nishiyama Inspection method using a charged particle beam and inspection device based thereon
US6771806B1 (en) * 1999-12-14 2004-08-03 Kla-Tencor Multi-pixel methods and apparatus for analysis of defect information from test structures on semiconductor devices
EP1480034A1 (en) * 2003-05-14 2004-11-24 Hitachi, Ltd. High resolution defect inspection with positron annihilation by simultaneous irradiation of a positron beam and an electron beam
US20060000964A1 (en) * 2003-03-18 2006-01-05 Jun Ye System and method for lithography process monitoring and control

Family Cites Families (313)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3495269A (en) 1966-12-19 1970-02-10 Xerox Corp Electrographic recording method and apparatus with inert gaseous discharge ionization and acceleration gaps
US3496352A (en) 1967-06-05 1970-02-17 Xerox Corp Self-cleaning corona generating apparatus
US3909602A (en) 1973-09-27 1975-09-30 California Inst Of Techn Automatic visual inspection system for microelectronics
US4015203A (en) 1975-12-31 1977-03-29 International Business Machines Corporation Contactless LSI junction leakage testing method
US4247203A (en) 1978-04-03 1981-01-27 Kla Instrument Corporation Automatic photomask inspection system and apparatus
US4347001A (en) 1978-04-03 1982-08-31 Kla Instruments Corporation Automatic photomask inspection system and apparatus
US4378159A (en) 1981-03-30 1983-03-29 Tencor Instruments Scanning contaminant and defect detector
US4448532A (en) 1981-03-31 1984-05-15 Kla Instruments Corporation Automatic photomask inspection method and system
US4926489A (en) 1983-03-11 1990-05-15 Kla Instruments Corporation Reticle inspection system
US4579455A (en) 1983-05-09 1986-04-01 Kla Instruments Corporation Photomask inspection apparatus and method with improved defect detection
US4532650A (en) 1983-05-12 1985-07-30 Kla Instruments Corporation Photomask inspection apparatus and method using corner comparator defect detection algorithm
US4555798A (en) 1983-06-20 1985-11-26 Kla Instruments Corporation Automatic system and method for inspecting hole quality
US4578810A (en) 1983-08-08 1986-03-25 Itek Corporation System for printed circuit board defect detection
JPS6062122A (en) 1983-09-16 1985-04-10 Fujitsu Ltd Inspection of mask pattern
US4599558A (en) 1983-12-14 1986-07-08 Ibm Photovoltaic imaging for large area semiconductors
US4595289A (en) 1984-01-25 1986-06-17 At&T Bell Laboratories Inspection system utilizing dark-field illumination
JPS60263807A (en) 1984-06-12 1985-12-27 Dainippon Screen Mfg Co Ltd Instument for inspecting pattern defect of printed wiring board
US4633504A (en) 1984-06-28 1986-12-30 Kla Instruments Corporation Automatic photomask inspection system having image enhancement means
US4817123A (en) 1984-09-21 1989-03-28 Picker International Digital radiography detector resolution improvement
JPH0648380B2 (en) 1985-06-13 1994-06-22 株式会社東芝 Mask inspection method
US4734721A (en) 1985-10-04 1988-03-29 Markem Corporation Electrostatic printer utilizing dehumidified air
US4641967A (en) 1985-10-11 1987-02-10 Tencor Instruments Particle position correlator and correlation method for a surface scanner
US4928313A (en) 1985-10-25 1990-05-22 Synthetic Vision Systems, Inc. Method and system for automatically visually inspecting an article
US5046109A (en) 1986-03-12 1991-09-03 Nikon Corporation Pattern inspection apparatus
US4814829A (en) 1986-06-12 1989-03-21 Canon Kabushiki Kaisha Projection exposure apparatus
US4805123B1 (en) 1986-07-14 1998-10-13 Kla Instr Corp Automatic photomask and reticle inspection method and apparatus including improved defect detector and alignment sub-systems
US4758094A (en) 1987-05-15 1988-07-19 Kla Instruments Corp. Process and apparatus for in-situ qualification of master patterns used in patterning systems
US4766324A (en) 1987-08-07 1988-08-23 Tencor Instruments Particle detection method including comparison between sequential scans
US4812756A (en) 1987-08-26 1989-03-14 International Business Machines Corporation Contactless technique for semicondutor wafer testing
US4845558A (en) 1987-12-03 1989-07-04 Kla Instruments Corporation Method and apparatus for detecting defects in repeated microminiature patterns
US4877326A (en) 1988-02-19 1989-10-31 Kla Instruments Corporation Method and apparatus for optical inspection of substrates
US5054097A (en) 1988-11-23 1991-10-01 Schlumberger Technologies, Inc. Methods and apparatus for alignment of images
US5155336A (en) 1990-01-19 1992-10-13 Applied Materials, Inc. Rapid thermal heating apparatus and method
US5124927A (en) 1990-03-02 1992-06-23 International Business Machines Corp. Latent-image control of lithography tools
JP3707172B2 (en) 1996-01-24 2005-10-19 富士ゼロックス株式会社 Image reading device
US5189481A (en) 1991-07-26 1993-02-23 Tencor Instruments Particle detector for rough surfaces
US5563702A (en) 1991-08-22 1996-10-08 Kla Instruments Corporation Automated photomask inspection apparatus and method
DE69208413T2 (en) 1991-08-22 1996-11-14 Kla Instr Corp Device for automatic testing of photomask
CA2131692A1 (en) 1992-03-09 1993-09-16 Sybille Muller An anti-idiotypic antibody and its use in diagnosis and therapy in hiv-related disease
US6205259B1 (en) 1992-04-09 2001-03-20 Olympus Optical Co., Ltd. Image processing apparatus
JP2667940B2 (en) 1992-04-27 1997-10-27 三菱電機株式会社 Mask inspection method and mask detection device
JP3730263B2 (en) 1992-05-27 2005-12-21 ケーエルエー・インストルメンツ・コーポレーション Apparatus and method for automatic substrate inspection using charged particle beam
JP3212389B2 (en) 1992-10-26 2001-09-25 株式会社キリンテクノシステム Inspection method for foreign substances on solids
JPH06177706A (en) 1992-12-08 1994-06-24 Sony Corp Signal processing unit
KR100300618B1 (en) 1992-12-25 2001-11-22 오노 시게오 EXPOSURE METHOD, EXPOSURE DEVICE, AND DEVICE MANUFACTURING METHOD USING THE DEVICE
US5448053A (en) 1993-03-01 1995-09-05 Rhoads; Geoffrey B. Method and apparatus for wide field distortion-compensated imaging
US5355212A (en) 1993-07-19 1994-10-11 Tencor Instruments Process for inspecting patterned wafers
US5453844A (en) 1993-07-21 1995-09-26 The University Of Rochester Image data coding and compression system utilizing controlled blurring
US5497381A (en) 1993-10-15 1996-03-05 Analog Devices, Inc. Bitstream defect analysis method for integrated circuits
US5544256A (en) 1993-10-22 1996-08-06 International Business Machines Corporation Automated defect classification system
US5500607A (en) 1993-12-22 1996-03-19 International Business Machines Corporation Probe-oxide-semiconductor method and apparatus for measuring oxide charge on a semiconductor wafer
US5553168A (en) 1994-01-21 1996-09-03 Texas Instruments Incorporated System and method for recognizing visual indicia
US5696835A (en) 1994-01-21 1997-12-09 Texas Instruments Incorporated Apparatus and method for aligning and measuring misregistration
US5572608A (en) 1994-08-24 1996-11-05 International Business Machines Corporation Sinc filter in linear lumen space for scanner
US5608538A (en) 1994-08-24 1997-03-04 International Business Machines Corporation Scan line queuing for high performance image correction
US5528153A (en) 1994-11-07 1996-06-18 Texas Instruments Incorporated Method for non-destructive, non-contact measurement of dielectric constant of thin films
US6014461A (en) 1994-11-30 2000-01-11 Texas Instruments Incorporated Apparatus and method for automatic knowlege-based object identification
US5694478A (en) 1994-12-15 1997-12-02 Minnesota Mining And Manufacturing Company Method and apparatus for detecting and identifying microbial colonies
US5948972A (en) 1994-12-22 1999-09-07 Kla-Tencor Corporation Dual stage instrument for scanning a specimen
CA2139182A1 (en) 1994-12-28 1996-06-29 Paul Chevrette Method and system for fast microscanning
US5661408A (en) 1995-03-01 1997-08-26 Qc Solutions, Inc. Real-time in-line testing of semiconductor wafers
US5991699A (en) 1995-05-04 1999-11-23 Kla Instruments Corporation Detecting groups of defects in semiconductor feature space
US5485091A (en) 1995-05-12 1996-01-16 International Business Machines Corporation Contactless electrical thin oxide measurements
US5644223A (en) 1995-05-12 1997-07-01 International Business Machines Corporation Uniform density charge deposit source
TW341664B (en) 1995-05-12 1998-10-01 Ibm Photovoltaic oxide charge measurement probe technique
US6288780B1 (en) 1995-06-06 2001-09-11 Kla-Tencor Technologies Corp. High throughput brightfield/darkfield wafer inspection system using advanced optical techniques
US5649169A (en) 1995-06-20 1997-07-15 Advanced Micro Devices, Inc. Method and system for declustering semiconductor defect data
US5594247A (en) 1995-07-07 1997-01-14 Keithley Instruments, Inc. Apparatus and method for depositing charge on a semiconductor wafer
US5773989A (en) 1995-07-14 1998-06-30 University Of South Florida Measurement of the mobile ion concentration in the oxide layer of a semiconductor wafer
US5621519A (en) 1995-07-31 1997-04-15 Neopath, Inc. Imaging system transfer function control method and apparatus
US5619548A (en) 1995-08-11 1997-04-08 Oryx Instruments And Materials Corp. X-ray thickness gauge
DE69634089T2 (en) 1995-10-02 2005-12-08 Kla-Tencor Corp., San Jose IMPROVING THE ORIENTATION OF INSPECTION SYSTEMS BEFORE IMAGE RECORDING
US5754678A (en) 1996-01-17 1998-05-19 Photon Dynamics, Inc. Substrate inspection apparatus and method
US5673208A (en) 1996-04-11 1997-09-30 Micron Technology, Inc. Focus spot detection method and system
US5917332A (en) 1996-05-09 1999-06-29 Advanced Micro Devices, Inc. Arrangement for improving defect scanner sensitivity and scanning defects on die of a semiconductor wafer
US5742658A (en) 1996-05-23 1998-04-21 Advanced Micro Devices, Inc. Apparatus and method for determining the elemental compositions and relative locations of particles on the surface of a semiconductor wafer
US6246787B1 (en) 1996-05-31 2001-06-12 Texas Instruments Incorporated System and method for knowledgebase generation and management
US6205239B1 (en) 1996-05-31 2001-03-20 Texas Instruments Incorporated System and method for circuit repair
US6292582B1 (en) 1996-05-31 2001-09-18 Lin Youling Method and system for identifying defects in a semiconductor
US6091846A (en) 1996-05-31 2000-07-18 Texas Instruments Incorporated Method and system for anomaly detection
US5822218A (en) 1996-08-27 1998-10-13 Clemson University Systems, methods and computer program products for prediction of defect-related failures in integrated circuits
US5767693A (en) 1996-09-04 1998-06-16 Smithley Instruments, Inc. Method and apparatus for measurement of mobile charges with a corona screen gun
US6076465A (en) 1996-09-20 2000-06-20 Kla-Tencor Corporation System and method for determining reticle defect printability
KR100200734B1 (en) 1996-10-10 1999-06-15 윤종용 Measuring apparatus and method of aerial image
US5866806A (en) 1996-10-11 1999-02-02 Kla-Tencor Corporation System for locating a feature of a surface
US5928389A (en) 1996-10-21 1999-07-27 Applied Materials, Inc. Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool
US6259960B1 (en) 1996-11-01 2001-07-10 Joel Ltd. Part-inspecting system
US5852232A (en) 1997-01-02 1998-12-22 Kla-Tencor Corporation Acoustic sensor as proximity detector
US5978501A (en) 1997-01-03 1999-11-02 International Business Machines Corporation Adaptive inspection method and system
US5955661A (en) 1997-01-06 1999-09-21 Kla-Tencor Corporation Optical profilometer combined with stylus probe measurement device
US5795685A (en) 1997-01-14 1998-08-18 International Business Machines Corporation Simple repair method for phase shifting masks
US5889593A (en) 1997-02-26 1999-03-30 Kla Instruments Corporation Optical system and method for angle-dependent reflection or transmission measurement
US5980187A (en) 1997-04-16 1999-11-09 Kla-Tencor Corporation Mechanism for transporting semiconductor-process masks
US6121783A (en) 1997-04-22 2000-09-19 Horner; Gregory S. Method and apparatus for establishing electrical contact between a wafer and a chuck
US6097196A (en) 1997-04-23 2000-08-01 Verkuil; Roger L. Non-contact tunnelling field measurement for a semiconductor oxide layer
US6078738A (en) 1997-05-08 2000-06-20 Lsi Logic Corporation Comparing aerial image to SEM of photoresist or substrate pattern for masking process characterization
KR100308811B1 (en) 1997-05-10 2001-12-15 박종섭 Method for improving time error of time and frequency generating device using gps
US6201999B1 (en) 1997-06-09 2001-03-13 Applied Materials, Inc. Method and apparatus for automatically generating schedules for wafer processing within a multichamber semiconductor wafer processing tool
US6011404A (en) 1997-07-03 2000-01-04 Lucent Technologies Inc. System and method for determining near--surface lifetimes and the tunneling field of a dielectric in a semiconductor
US6072320A (en) 1997-07-30 2000-06-06 Verkuil; Roger L. Product wafer junction leakage measurement using light and eddy current
US6104206A (en) 1997-08-05 2000-08-15 Verkuil; Roger L. Product wafer junction leakage measurement using corona and a kelvin probe
US5834941A (en) 1997-08-11 1998-11-10 Keithley Instruments, Inc. Mobile charge measurement using corona charge and ultraviolet light
US6191605B1 (en) 1997-08-18 2001-02-20 Tom G. Miller Contactless method for measuring total charge of an insulating layer on a substrate using corona charge
US6757645B2 (en) 1997-09-17 2004-06-29 Numerical Technologies, Inc. Visual inspection and verification system
US6470489B1 (en) 1997-09-17 2002-10-22 Numerical Technologies, Inc. Design rule checking system and method
US6578188B1 (en) 1997-09-17 2003-06-10 Numerical Technologies, Inc. Method and apparatus for a network-based mask defect printability analysis system
US7107571B2 (en) 1997-09-17 2006-09-12 Synopsys, Inc. Visual analysis and verification system using advanced tools
US5965306A (en) 1997-10-15 1999-10-12 International Business Machines Corporation Method of determining the printability of photomask defects
US5874733A (en) 1997-10-16 1999-02-23 Raytheon Company Convergent beam scanner linearizing method and apparatus
US6233719B1 (en) 1997-10-27 2001-05-15 Kla-Tencor Corporation System and method for analyzing semiconductor production data
US6097887A (en) 1997-10-27 2000-08-01 Kla-Tencor Corporation Software system and method for graphically building customized recipe flowcharts
US6104835A (en) 1997-11-14 2000-08-15 Kla-Tencor Corporation Automatic knowledge database generation for classifying objects and systems therefor
JPH11162832A (en) 1997-11-25 1999-06-18 Nikon Corp Scan aligning method and scan aligner
US5999003A (en) 1997-12-12 1999-12-07 Advanced Micro Devices, Inc. Intelligent usage of first pass defect data for improved statistical accuracy of wafer level classification
US6614520B1 (en) 1997-12-18 2003-09-02 Kla-Tencor Corporation Method for inspecting a reticle
US6060709A (en) 1997-12-31 2000-05-09 Verkuil; Roger L. Apparatus and method for depositing uniform charge on a thin oxide semiconductor wafer
US6122017A (en) 1998-01-22 2000-09-19 Hewlett-Packard Company Method for providing motion-compensated multi-field enhancement of still images from video
US6175645B1 (en) 1998-01-22 2001-01-16 Applied Materials, Inc. Optical inspection method and apparatus
US6171737B1 (en) 1998-02-03 2001-01-09 Advanced Micro Devices, Inc. Low cost application of oxide test wafer for defect monitor in photolithography process
US6091845A (en) 1998-02-24 2000-07-18 Micron Technology, Inc. Inspection technique of photomask
US5932377A (en) 1998-02-24 1999-08-03 International Business Machines Corporation Exact transmission balanced alternating phase-shifting mask for photolithography
US6091257A (en) 1998-02-26 2000-07-18 Verkuil; Roger L. Vacuum activated backside contact
US6282309B1 (en) 1998-05-29 2001-08-28 Kla-Tencor Corporation Enhanced sensitivity automated photomask inspection system
US6137570A (en) 1998-06-30 2000-10-24 Kla-Tencor Corporation System and method for analyzing topological features on a surface
US6324298B1 (en) 1998-07-15 2001-11-27 August Technology Corp. Automated wafer defect inspection system and a process of performing such inspection
US6266437B1 (en) 1998-09-04 2001-07-24 Sandia Corporation Sequential detection of web defects
US6466314B1 (en) 1998-09-17 2002-10-15 Applied Materials, Inc. Reticle design inspection system
US6040912A (en) 1998-09-30 2000-03-21 Advanced Micro Devices, Inc. Method and apparatus for detecting process sensitivity to integrated circuit layout using wafer to wafer defect inspection device
US6122046A (en) 1998-10-02 2000-09-19 Applied Materials, Inc. Dual resolution combined laser spot scanning and area imaging inspection
US6535628B2 (en) 1998-10-15 2003-03-18 Applied Materials, Inc. Detection of wafer fragments in a wafer processing apparatus
US6393602B1 (en) 1998-10-21 2002-05-21 Texas Instruments Incorporated Method of a comprehensive sequential analysis of the yield losses of semiconductor wafers
JP3860347B2 (en) 1998-10-30 2006-12-20 富士通株式会社 Link processing device
US6248486B1 (en) 1998-11-23 2001-06-19 U.S. Philips Corporation Method of detecting aberrations of an optical imaging system
US6476913B1 (en) 1998-11-30 2002-11-05 Hitachi, Ltd. Inspection method, apparatus and system for circuit pattern
US6529621B1 (en) 1998-12-17 2003-03-04 Kla-Tencor Mechanisms for making and inspecting reticles
US6539106B1 (en) 1999-01-08 2003-03-25 Applied Materials, Inc. Feature-based defect detection
US6373975B1 (en) 1999-01-25 2002-04-16 International Business Machines Corporation Error checking of simulated printed images with process window effects included
US7106895B1 (en) 1999-05-05 2006-09-12 Kla-Tencor Method and apparatus for inspecting reticles implementing parallel processing
US6842225B1 (en) 1999-05-07 2005-01-11 Nikon Corporation Exposure apparatus, microdevice, photomask, method of exposure, and method of production of device
WO2000070332A1 (en) 1999-05-18 2000-11-23 Applied Materials, Inc. Method of and apparatus for inspection of articles by comparison with a master
US6526164B1 (en) 1999-05-27 2003-02-25 International Business Machines Corporation Intelligent photomask disposition
US6922482B1 (en) 1999-06-15 2005-07-26 Applied Materials, Inc. Hybrid invariant adaptive automatic defect classification
US6407373B1 (en) 1999-06-15 2002-06-18 Applied Materials, Inc. Apparatus and method for reviewing defects on an object
JP2001143982A (en) 1999-06-29 2001-05-25 Applied Materials Inc Integrated dimension control for semiconductor device manufacturing
WO2001003380A1 (en) 1999-07-02 2001-01-11 Fujitsu Limited Service allotting device
US6776692B1 (en) 1999-07-09 2004-08-17 Applied Materials Inc. Closed-loop control of wafer polishing in a chemical mechanical polishing system
US6466895B1 (en) 1999-07-16 2002-10-15 Applied Materials, Inc. Defect reference system automatic pattern classification
US6248485B1 (en) 1999-07-19 2001-06-19 Lucent Technologies Inc. Method for controlling a process for patterning a feature in a photoresist
US6466315B1 (en) 1999-09-03 2002-10-15 Applied Materials, Inc. Method and system for reticle inspection by photolithography simulation
US20020144230A1 (en) 1999-09-22 2002-10-03 Dupont Photomasks, Inc. System and method for correcting design rule violations in a mask layout file
US6268093B1 (en) 1999-10-13 2001-07-31 Applied Materials, Inc. Method for reticle inspection using aerial imaging
FR2801673B1 (en) 1999-11-26 2001-12-28 Pechiney Aluminium METHOD FOR MEASURING THE DEGREE AND THE HOMOGENEITY OF CALCINATION OF ALUMINS
US7190292B2 (en) 1999-11-29 2007-03-13 Bizjak Karl M Input level adjust system and method
US6738954B1 (en) 1999-12-08 2004-05-18 International Business Machines Corporation Method for prediction random defect yields of integrated circuits with accuracy and computation time controls
US6701004B1 (en) 1999-12-22 2004-03-02 Intel Corporation Detecting defects on photomasks
US6778695B1 (en) 1999-12-23 2004-08-17 Franklin M. Schellenberg Design-based reticle defect prioritization
JP4419250B2 (en) 2000-02-15 2010-02-24 株式会社ニコン Defect inspection equipment
US7120285B1 (en) 2000-02-29 2006-10-10 Advanced Micro Devices, Inc. Method for evaluation of reticle image using aerial image simulator
US6451690B1 (en) 2000-03-13 2002-09-17 Matsushita Electronics Corporation Method of forming electrode structure and method of fabricating semiconductor device
US6482557B1 (en) 2000-03-24 2002-11-19 Dupont Photomasks, Inc. Method and apparatus for evaluating the runability of a photomask inspection tool
US6569691B1 (en) 2000-03-29 2003-05-27 Semiconductor Diagnostics, Inc. Measurement of different mobile ion concentrations in the oxide layer of a semiconductor wafer
US6759255B2 (en) 2000-05-10 2004-07-06 Kla-Tencor Technologies Corp. Method and system for detecting metal contamination on a semiconductor wafer
US6425113B1 (en) 2000-06-13 2002-07-23 Leigh C. Anderson Integrated verification and manufacturability tool
JP2002032737A (en) 2000-07-14 2002-01-31 Seiko Instruments Inc Method and device for navigation for pattern observation of semiconductor device
US6636301B1 (en) 2000-08-10 2003-10-21 Kla-Tencor Corporation Multiple beam inspection apparatus and method
US6634018B2 (en) 2000-08-24 2003-10-14 Texas Instruments Incorporated Optical proximity correction
JP2002071575A (en) 2000-09-04 2002-03-08 Matsushita Electric Ind Co Ltd Defect inspecting and analyzing method and system therefor
TW513772B (en) 2000-09-05 2002-12-11 Komatsu Denshi Kinzoku Kk Apparatus for inspecting wafer surface, method for inspecting wafer surface, apparatus for judging defective wafer, method for judging defective wafer and information treatment apparatus of wafer surface
DE10044257A1 (en) 2000-09-07 2002-04-11 Infineon Technologies Ag Process for generating mask layout data for lithography simulation and optimized mask layout data, and associated device and programs
US6513151B1 (en) 2000-09-14 2003-01-28 Advanced Micro Devices, Inc. Full flow focus exposure matrix analysis and electrical testing for new product mask evaluation
US6919957B2 (en) * 2000-09-20 2005-07-19 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension, a presence of defects, and a thin film characteristic of a specimen
US6724489B2 (en) 2000-09-22 2004-04-20 Daniel Freifeld Three dimensional scanning camera
US6593152B2 (en) 2000-11-02 2003-07-15 Ebara Corporation Electron beam apparatus and method of manufacturing semiconductor device using the apparatus
US6753954B2 (en) 2000-12-06 2004-06-22 Asml Masktools B.V. Method and apparatus for detecting aberrations in a projection lens utilized for projection optics
US6602728B1 (en) 2001-01-05 2003-08-05 International Business Machines Corporation Method for generating a proximity model based on proximity rules
US6597193B2 (en) 2001-01-26 2003-07-22 Semiconductor Diagnostics, Inc. Steady state method for measuring the thickness and the capacitance of ultra thin dielectric in the presence of substantial leakage current
US6680621B2 (en) 2001-01-26 2004-01-20 Semiconductor Diagnostics, Inc. Steady state method for measuring the thickness and the capacitance of ultra thin dielectric in the presence of substantial leakage current
US20020145734A1 (en) 2001-02-09 2002-10-10 Cory Watkins Confocal 3D inspection system and process
CN1262960C (en) 2001-03-12 2006-07-05 Pdf技术公司 Extraction method of defect density and size distributions
US6873720B2 (en) 2001-03-20 2005-03-29 Synopsys, Inc. System and method of providing mask defect printability analysis
US6605478B2 (en) 2001-03-30 2003-08-12 Appleid Materials, Inc, Kill index analysis for automatic defect classification in semiconductor wafers
US6665065B1 (en) 2001-04-09 2003-12-16 Advanced Micro Devices, Inc. Defect detection in pellicized reticles via exposure at short wavelengths
JP4038356B2 (en) 2001-04-10 2008-01-23 株式会社日立製作所 Defect data analysis method and apparatus, and review system
JP4266082B2 (en) 2001-04-26 2009-05-20 株式会社東芝 Inspection method for exposure mask pattern
JP4199939B2 (en) 2001-04-27 2008-12-24 株式会社日立製作所 Semiconductor inspection system
JP2002353099A (en) 2001-05-22 2002-12-06 Canon Inc Apparatus and method for detecting position aligner and method for manufacturing device
US20020186878A1 (en) 2001-06-07 2002-12-12 Hoon Tan Seow System and method for multiple image analysis
JP3551163B2 (en) 2001-06-08 2004-08-04 三菱住友シリコン株式会社 Defect inspection method and defect inspection device
US6779159B2 (en) 2001-06-08 2004-08-17 Sumitomo Mitsubishi Silicon Corporation Defect inspection method and defect inspection apparatus
US6581193B1 (en) 2001-06-13 2003-06-17 Kla-Tencor Apparatus and methods for modeling process effects and imaging effects in scanning electron microscopy
US7382447B2 (en) 2001-06-26 2008-06-03 Kla-Tencor Technologies Corporation Method for determining lithographic focus and exposure
US6593748B1 (en) 2001-07-12 2003-07-15 Advanced Micro Devices, Inc. Process integration of electrical thickness measurement of gate oxide and tunnel oxides by corona discharge technique
US20030014146A1 (en) 2001-07-12 2003-01-16 Kabushiki Kaisha Toshiba Dangerous process/pattern detection system and method, danger detection program, and semiconductor device manufacturing method
JP2003031477A (en) 2001-07-17 2003-01-31 Hitachi Ltd Manufacturing method of semiconductor device and system thereof
JP4122735B2 (en) 2001-07-24 2008-07-23 株式会社日立製作所 Semiconductor device inspection method and inspection condition setting method
US7030997B2 (en) 2001-09-11 2006-04-18 The Regents Of The University Of California Characterizing aberrations in an imaging lens and applications to visual testing and integrated circuit mask analysis
EP2164267B1 (en) 2001-09-12 2011-04-27 Panasonic Corporation Picture decoding apparatus and method
JP3870052B2 (en) 2001-09-20 2007-01-17 株式会社日立製作所 Semiconductor device manufacturing method and defect inspection data processing method
US6670082B2 (en) 2001-10-09 2003-12-30 Numerical Technologies, Inc. System and method for correcting 3D effects in an alternating phase-shifting mask
US6918101B1 (en) 2001-10-25 2005-07-12 Kla -Tencor Technologies Corporation Apparatus and methods for determining critical area of semiconductor design data
US6751519B1 (en) 2001-10-25 2004-06-15 Kla-Tencor Technologies Corporation Methods and systems for predicting IC chip yield
WO2003036549A1 (en) 2001-10-25 2003-05-01 Kla-Tencor Technologies Corporation Apparatus and methods for managing reliability of semiconductor devices
US6948141B1 (en) 2001-10-25 2005-09-20 Kla-Tencor Technologies Corporation Apparatus and methods for determining critical area of semiconductor design data
US6734696B2 (en) 2001-11-01 2004-05-11 Kla-Tencor Technologies Corp. Non-contact hysteresis measurements of insulating films
JP2003151483A (en) 2001-11-19 2003-05-23 Hitachi Ltd Substrate inspection device for circuit pattern using charged particle beam and substrate inspection method
US6886153B1 (en) 2001-12-21 2005-04-26 Kla-Tencor Corporation Design driven inspection or measurement for semiconductor using recipe
US6789032B2 (en) 2001-12-26 2004-09-07 International Business Machines Corporation Method of statistical binning for reliability selection
US6658640B2 (en) 2001-12-26 2003-12-02 Numerical Technologies, Inc. Simulation-based feed forward process control
US6906305B2 (en) 2002-01-08 2005-06-14 Brion Technologies, Inc. System and method for aerial image sensing
US7236847B2 (en) 2002-01-16 2007-06-26 Kla-Tencor Technologies Corp. Systems and methods for closed loop defect reduction
JP2003215060A (en) 2002-01-22 2003-07-30 Tokyo Seimitsu Co Ltd Pattern inspection method and inspection apparatus
US6691052B1 (en) 2002-01-30 2004-02-10 Kla-Tencor Corporation Apparatus and methods for generating an inspection reference pattern
JP3629244B2 (en) 2002-02-19 2005-03-16 本多エレクトロン株式会社 Wafer inspection equipment
US7257247B2 (en) 2002-02-21 2007-08-14 International Business Machines Corporation Mask defect analysis system
US20030223639A1 (en) 2002-03-05 2003-12-04 Vladimir Shlain Calibration and recognition of materials in technical images using specific and non-specific features
US20030192015A1 (en) 2002-04-04 2003-10-09 Numerical Technologies, Inc. Method and apparatus to facilitate test pattern design for model calibration and proximity correction
US6966047B1 (en) 2002-04-09 2005-11-15 Kla-Tencor Technologies Corporation Capturing designer intent in reticle inspection
US6642066B1 (en) 2002-05-15 2003-11-04 Advanced Micro Devices, Inc. Integrated process for depositing layer of high-K dielectric with in-situ control of K value and thickness of high-K dielectric layer
US7124386B2 (en) 2002-06-07 2006-10-17 Praesagus, Inc. Dummy fill for integrated circuits
EP1532670A4 (en) 2002-06-07 2007-09-12 Praesagus Inc Characterization adn reduction of variation for integrated circuits
US7152215B2 (en) 2002-06-07 2006-12-19 Praesagus, Inc. Dummy fill for integrated circuits
US7363099B2 (en) 2002-06-07 2008-04-22 Cadence Design Systems, Inc. Integrated circuit metrology
US20030229875A1 (en) 2002-06-07 2003-12-11 Smith Taber H. Use of models in integrated circuit fabrication
US6828542B2 (en) 2002-06-07 2004-12-07 Brion Technologies, Inc. System and method for lithography process monitoring and control
US7393755B2 (en) 2002-06-07 2008-07-01 Cadence Design Systems, Inc. Dummy fill for integrated circuits
JP2004031709A (en) 2002-06-27 2004-01-29 Seiko Instruments Inc Waferless measuring recipe generating system
US6777676B1 (en) 2002-07-05 2004-08-17 Kla-Tencor Technologies Corporation Non-destructive root cause analysis on blocked contact or via
JP4073265B2 (en) 2002-07-09 2008-04-09 富士通株式会社 Inspection apparatus and inspection method
US7012438B1 (en) 2002-07-10 2006-03-14 Kla-Tencor Technologies Corp. Methods and systems for determining a property of an insulating film
EP1543451A4 (en) 2002-07-12 2010-11-17 Cadence Design Systems Inc Method and system for context-specific mask writing
JP2006502422A (en) 2002-07-12 2006-01-19 ケイデンス デザイン システムズ インコーポレイテッド Method and system for context-specific mask inspection
US6902855B2 (en) 2002-07-15 2005-06-07 Kla-Tencor Technologies Qualifying patterns, patterning processes, or patterning apparatus in the fabrication of microlithographic patterns
KR100979484B1 (en) 2002-07-15 2010-09-02 케이엘에이-텐코 코포레이션 Defect inspection methods that include acquiring aerial images of a reticle for different lithographic process variables
US6775818B2 (en) 2002-08-20 2004-08-10 Lsi Logic Corporation Device parameter and gate performance simulation based on wafer image prediction
US6784446B1 (en) 2002-08-29 2004-08-31 Advanced Micro Devices, Inc. Reticle defect printability verification by resist latent image comparison
US20040049722A1 (en) 2002-09-09 2004-03-11 Kabushiki Kaisha Toshiba Failure analysis system, failure analysis method, a computer program product and a manufacturing method for a semiconductor device
US7043071B2 (en) 2002-09-13 2006-05-09 Synopsys, Inc. Soft defect printability simulation and analysis for masks
KR100474571B1 (en) 2002-09-23 2005-03-10 삼성전자주식회사 Method of setting reference images, method and apparatus using the setting method for inspecting patterns on a wafer
US7061625B1 (en) 2002-09-27 2006-06-13 Kla-Tencor Technologies Corporation Method and apparatus using interferometric metrology for high aspect ratio inspection
US7379175B1 (en) 2002-10-15 2008-05-27 Kla-Tencor Technologies Corp. Methods and systems for reticle inspection and defect review using aerial imaging
US7027143B1 (en) 2002-10-15 2006-04-11 Kla-Tencor Technologies Corp. Methods and systems for inspecting reticles using aerial imaging at off-stepper wavelengths
US7123356B1 (en) 2002-10-15 2006-10-17 Kla-Tencor Technologies Corp. Methods and systems for inspecting reticles using aerial imaging and die-to-database detection
US6807503B2 (en) 2002-11-04 2004-10-19 Brion Technologies, Inc. Method and apparatus for monitoring integrated circuit fabrication
US7386839B1 (en) 2002-11-06 2008-06-10 Valery Golender System and method for troubleshooting software configuration problems using application tracing
US7457736B2 (en) 2002-11-21 2008-11-25 Synopsys, Inc. Automated creation of metrology recipes
WO2004055472A2 (en) 2002-12-13 2004-07-01 Smith Bruce W Method for aberration detection and measurement
US6882745B2 (en) 2002-12-19 2005-04-19 Freescale Semiconductor, Inc. Method and apparatus for translating detected wafer defect coordinates to reticle coordinates using CAD data
US7162071B2 (en) 2002-12-20 2007-01-09 Taiwan Semiconductor Manufacturing Co., Ltd. Progressive self-learning defect review and classification method
US6718526B1 (en) 2003-02-07 2004-04-06 Kla-Tencor Corporation Spatial signature analysis
US7030966B2 (en) 2003-02-11 2006-04-18 Asml Netherlands B.V. Lithographic apparatus and method for optimizing an illumination source using photolithographic simulations
US7756320B2 (en) 2003-03-12 2010-07-13 Hitachi High-Technologies Corporation Defect classification using a logical equation for high stage classification
JP3699960B2 (en) 2003-03-14 2005-09-28 株式会社東芝 Inspection recipe creation system, defect review system, inspection recipe creation method and defect review method
US7508973B2 (en) 2003-03-28 2009-03-24 Hitachi High-Technologies Corporation Method of inspecting defects
US6859746B1 (en) 2003-05-01 2005-02-22 Advanced Micro Devices, Inc. Methods of using adaptive sampling techniques based upon categorization of process variations, and system for performing same
US7739064B1 (en) 2003-05-09 2010-06-15 Kla-Tencor Corporation Inline clustered defect reduction
US6777147B1 (en) 2003-05-21 2004-08-17 International Business Machines Corporation Method for evaluating the effects of multiple exposure processes in lithography
US7346470B2 (en) 2003-06-10 2008-03-18 International Business Machines Corporation System for identification of defects on circuits or other arrayed products
US9002497B2 (en) 2003-07-03 2015-04-07 Kla-Tencor Technologies Corp. Methods and systems for inspection of wafers and reticles using designer intent data
US7135344B2 (en) 2003-07-11 2006-11-14 Applied Materials, Israel, Ltd. Design-based monitoring
US6988045B2 (en) 2003-08-04 2006-01-17 Advanced Micro Devices, Inc. Dynamic metrology sampling methods, and system for performing same
US7003758B2 (en) 2003-10-07 2006-02-21 Brion Technologies, Inc. System and method for lithography simulation
US7114143B2 (en) 2003-10-29 2006-09-26 Lsi Logic Corporation Process yield learning
US7103484B1 (en) 2003-10-31 2006-09-05 Kla-Tencor Technologies Corp. Non-contact methods for measuring electrical thickness and determining nitrogen content of insulating films
JP2005183907A (en) 2003-11-26 2005-07-07 Matsushita Electric Ind Co Ltd Method and apparatus for analyzing pattern
JP4351522B2 (en) 2003-11-28 2009-10-28 株式会社日立ハイテクノロジーズ Pattern defect inspection apparatus and pattern defect inspection method
US8151220B2 (en) 2003-12-04 2012-04-03 Kla-Tencor Technologies Corp. Methods for simulating reticle layout data, inspecting reticle layout data, and generating a process for inspecting reticle layout data
US7646906B2 (en) 2004-01-29 2010-01-12 Kla-Tencor Technologies Corp. Computer-implemented methods for detecting defects in reticle design data
JP4426871B2 (en) 2004-02-25 2010-03-03 エスアイアイ・ナノテクノロジー株式会社 Image noise removal of FIB / SEM combined device
US7194709B2 (en) 2004-03-05 2007-03-20 Keith John Brankner Automatic alignment of integrated circuit and design layout of integrated circuit to more accurately assess the impact of anomalies
JP2005283326A (en) 2004-03-30 2005-10-13 Hitachi High-Technologies Corp Defect review method and its device
US7171334B2 (en) 2004-06-01 2007-01-30 Brion Technologies, Inc. Method and apparatus for synchronizing data acquisition of a monitored IC fabrication process
JP4347751B2 (en) 2004-06-07 2009-10-21 株式会社アドバンテスト Defect analysis system and defect location display method
US7207017B1 (en) 2004-06-10 2007-04-17 Advanced Micro Devices, Inc. Method and system for metrology recipe generation and review and analysis of design, simulation and metrology results
JP4758427B2 (en) 2004-07-21 2011-08-31 ケーエルエー−テンカー コーポレイション Computer-implemented method for generating input for simulation programs or for generating simulated images of reticles
US7912259B2 (en) 2004-08-09 2011-03-22 Bracco International Bv Image registration method and apparatus for medical imaging based on multiple masks
US7310796B2 (en) 2004-08-27 2007-12-18 Applied Materials, Israel, Ltd. System and method for simulating an aerial image
TW200622275A (en) 2004-09-06 2006-07-01 Mentor Graphics Corp Integrated circuit yield and quality analysis methods and systems
JP4904034B2 (en) 2004-09-14 2012-03-28 ケーエルエー−テンカー コーポレイション Method, system and carrier medium for evaluating reticle layout data
US7142992B1 (en) 2004-09-30 2006-11-28 Kla-Tencor Technologies Corp. Flexible hybrid defect classification for semiconductor manufacturing
KR20170003710A (en) 2004-10-12 2017-01-09 케이엘에이-텐코 코포레이션 Computer-implemented methods and systems for classifying defects on a specimen
US7729529B2 (en) 2004-12-07 2010-06-01 Kla-Tencor Technologies Corp. Computer-implemented methods for detecting and/or sorting defects in a design pattern of a reticle
JP2006200972A (en) 2005-01-19 2006-08-03 Tokyo Seimitsu Co Ltd Image defect inspection method, image defect inspection device, and external appearance inspection device
JP4895569B2 (en) * 2005-01-26 2012-03-14 株式会社日立ハイテクノロジーズ CHARGE CONTROL DEVICE AND MEASURING DEVICE PROVIDED WITH CHARGE CONTROL DEVICE
US7475382B2 (en) 2005-02-24 2009-01-06 Synopsys, Inc. Method and apparatus for determining an improved assist feature configuration in a mask layout
US7804993B2 (en) 2005-02-28 2010-09-28 Applied Materials South East Asia Pte. Ltd. Method and apparatus for detecting defects in wafers including alignment of the wafer images so as to induce the same smear in all images
US7813541B2 (en) 2005-02-28 2010-10-12 Applied Materials South East Asia Pte. Ltd. Method and apparatus for detecting defects in wafers
US7496880B2 (en) 2005-03-17 2009-02-24 Synopsys, Inc. Method and apparatus for assessing the quality of a process model
US7760347B2 (en) 2005-05-13 2010-07-20 Applied Materials, Inc. Design-based method for grouping systematic defects in lithography pattern writing system
US7760929B2 (en) 2005-05-13 2010-07-20 Applied Materials, Inc. Grouping systematic defects with feedback from electrical inspection
US7444615B2 (en) 2005-05-31 2008-10-28 Invarium, Inc. Calibration on wafer sweet spots
US7564017B2 (en) 2005-06-03 2009-07-21 Brion Technologies, Inc. System and method for characterizing aerial image quality in a lithography system
US7853920B2 (en) 2005-06-03 2010-12-14 Asml Netherlands B.V. Method for detecting, sampling, analyzing, and correcting marginal patterns in integrated circuit manufacturing
US7501215B2 (en) 2005-06-28 2009-03-10 Asml Netherlands B.V. Device manufacturing method and a calibration substrate
US20070002322A1 (en) 2005-06-30 2007-01-04 Yan Borodovsky Image inspection method
KR100663365B1 (en) 2005-07-18 2007-01-02 삼성전자주식회사 Optical inspection tools including lens unit with at least a pair of beam paths therein and methods of detecting surface defects of a substrate using the same
US7769225B2 (en) 2005-08-02 2010-08-03 Kla-Tencor Technologies Corp. Methods and systems for detecting defects in a reticle design pattern
US7488933B2 (en) 2005-08-05 2009-02-10 Brion Technologies, Inc. Method for lithography model calibration
KR100958714B1 (en) 2005-08-08 2010-05-18 브라이언 테크놀로지스, 인코포레이티드 System and method for creating a focus-exposure model of a lithography process
US7749666B2 (en) 2005-08-09 2010-07-06 Asml Netherlands B.V. System and method for measuring and analyzing lithographic parameters and determining optimal process corrections
KR100909474B1 (en) 2005-08-10 2009-07-28 삼성전자주식회사 Methods for Detecting Defective Semiconductor Wafers with Local Defect Mode Using Wafer Defect Index and Equipments Used Thereon
JP4203498B2 (en) 2005-09-22 2009-01-07 アドバンスド・マスク・インスペクション・テクノロジー株式会社 Image correction apparatus, pattern inspection apparatus, image correction method, and pattern defect inspection method
US7676077B2 (en) 2005-11-18 2010-03-09 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
US7570796B2 (en) 2005-11-18 2009-08-04 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
US8041103B2 (en) 2005-11-18 2011-10-18 Kla-Tencor Technologies Corp. Methods and systems for determining a position of inspection data in design data space
US7801353B2 (en) 2006-02-01 2010-09-21 Applied Materials Israel, Ltd. Method for defect detection using computer aided design data
US8102408B2 (en) 2006-06-29 2012-01-24 Kla-Tencor Technologies Corp. Computer-implemented methods and systems for determining different process windows for a wafer printing process for different reticle designs
US7738093B2 (en) 2007-05-07 2010-06-15 Kla-Tencor Corp. Methods for detecting and classifying defects on a reticle
US7962863B2 (en) 2007-05-07 2011-06-14 Kla-Tencor Corp. Computer-implemented methods, systems, and computer-readable media for determining a model for predicting printability of reticle features on a wafer
US8213704B2 (en) 2007-05-09 2012-07-03 Kla-Tencor Corp. Methods and systems for detecting defects in a reticle design pattern
US7962864B2 (en) 2007-05-24 2011-06-14 Applied Materials, Inc. Stage yield prediction
US7796804B2 (en) 2007-07-20 2010-09-14 Kla-Tencor Corp. Methods for generating a standard reference die for use in a die to standard reference die inspection and methods for inspecting a wafer
JP5425779B2 (en) 2007-08-20 2014-02-26 ケーエルエー−テンカー・コーポレーション A computer-implemented method for determining whether an actual defect is a potential systematic defect or a potentially random defect
JP5570530B2 (en) 2009-02-13 2014-08-13 ケーエルエー−テンカー コーポレイション Defect detection on wafer

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0032197A1 (en) * 1980-01-09 1981-07-22 International Business Machines Corporation Test procedures for integrated semi-conductor circuits allowing the electric determination of certain tolerances during the photolithographic stages
US5986263A (en) * 1996-03-29 1999-11-16 Hitachi, Ltd. Electron beam inspection method and apparatus and semiconductor manufacturing method and its manufacturing line utilizing the same
US6445199B1 (en) * 1999-12-14 2002-09-03 Kla-Tencor Corporation Methods and apparatus for generating spatially resolved voltage contrast maps of semiconductor test structures
US6771806B1 (en) * 1999-12-14 2004-08-03 Kla-Tencor Multi-pixel methods and apparatus for analysis of defect information from test structures on semiconductor devices
US20020033449A1 (en) * 2000-06-27 2002-03-21 Mamoru Nakasuji Inspection system by charged particle beam and method of manufacturing devices using the system
US20020134936A1 (en) * 2001-03-23 2002-09-26 Miyako Matsui Wafer inspection system and wafer inspection process using charged particle beam
US20030057971A1 (en) * 2001-09-27 2003-03-27 Hidetoshi Nishiyama Inspection method using a charged particle beam and inspection device based thereon
US20060000964A1 (en) * 2003-03-18 2006-01-05 Jun Ye System and method for lithography process monitoring and control
EP1480034A1 (en) * 2003-05-14 2004-11-24 Hitachi, Ltd. High resolution defect inspection with positron annihilation by simultaneous irradiation of a positron beam and an electron beam

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160076310A (en) * 2014-12-22 2016-06-30 삼성전자주식회사 Method of Auto Defect Classification
KR102392057B1 (en) 2014-12-22 2022-04-28 삼성전자주식회사 Method of Auto Defect Classification
TWI736385B (en) * 2020-08-05 2021-08-11 華邦電子股份有限公司 Failure mode analysis method for memory device

Also Published As

Publication number Publication date
US20080167829A1 (en) 2008-07-10
WO2008086282A3 (en) 2008-09-12
US8194968B2 (en) 2012-06-05

Similar Documents

Publication Publication Date Title
US8194968B2 (en) Methods and systems for using electrical information for a device being fabricated on a wafer to perform one or more defect-related functions
JP6127170B2 (en) A system configured to separate defects detected on a wafer according to bin ranges
JP5405453B2 (en) Method and apparatus for locating inspection data in the design data area
US7904845B2 (en) Determining locations on a wafer to be reviewed during defect review
US7711514B2 (en) Computer-implemented methods, carrier media, and systems for generating a metrology sampling plan
US20090257645A1 (en) Methods and systems for determining a defect criticality index for defects on wafers

Legal Events

Date Code Title Description
NENP Non-entry into the national phase

Ref country code: DE

121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 08713621

Country of ref document: EP

Kind code of ref document: A2

122 Ep: pct application non-entry in european phase

Ref document number: 08713621

Country of ref document: EP

Kind code of ref document: A2