WO2008097448A3 - Methods of forming one or more covered voids in a semiconductor substrate, methods of forming field effect transistors, methods of forming semiconductor-on-insulator substrates, methods of forming a span comprising silicon dioxide, methods of cooling semiconductor devices, methods of forming electromagnetic radiation emitte - Google Patents

Methods of forming one or more covered voids in a semiconductor substrate, methods of forming field effect transistors, methods of forming semiconductor-on-insulator substrates, methods of forming a span comprising silicon dioxide, methods of cooling semiconductor devices, methods of forming electromagnetic radiation emitte Download PDF

Info

Publication number
WO2008097448A3
WO2008097448A3 PCT/US2008/001126 US2008001126W WO2008097448A3 WO 2008097448 A3 WO2008097448 A3 WO 2008097448A3 US 2008001126 W US2008001126 W US 2008001126W WO 2008097448 A3 WO2008097448 A3 WO 2008097448A3
Authority
WO
WIPO (PCT)
Prior art keywords
methods
forming
semiconductor
electromagnetic radiation
emitte
Prior art date
Application number
PCT/US2008/001126
Other languages
French (fr)
Other versions
WO2008097448A2 (en
Inventor
David H Wells
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Publication of WO2008097448A2 publication Critical patent/WO2008097448A2/en
Publication of WO2008097448A3 publication Critical patent/WO2008097448A3/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/764Air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02488Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • H01L21/02639Preparation of substrate for selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • H01L21/02647Lateral overgrowth
    • H01L21/0265Pendeoepitaxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • H01L21/2003Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy characterised by the substrate
    • H01L21/2015Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy characterised by the substrate the substrate being of crystalline semiconductor material, e.g. lattice adaptation, heteroepitaxy

Abstract

Some embodiments include methods of forming voids within semiconductor constructions. In some embodiments the voids may be utilized as microstructures for distributing coolant, for guiding electromagnetic radiation, or for separation and/or characterization of materials. Some embodiments include constructions having micro- structures therein which correspond to voids, conduits, insulative structures, semiconductor structures or conductive structures.
PCT/US2008/001126 2007-02-07 2008-01-28 Methods of forming one or more covered voids in a semiconductor substrate, methods of forming field effect transistors, methods of forming semiconductor-on-insulator substrates, methods of forming a span comprising silicon dioxide, methods of cooling semiconductor devices, methods of forming electromagnetic radiation emitte WO2008097448A2 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/704,466 US7989322B2 (en) 2007-02-07 2007-02-07 Methods of forming transistors
US11/704,466 2007-02-07

Publications (2)

Publication Number Publication Date
WO2008097448A2 WO2008097448A2 (en) 2008-08-14
WO2008097448A3 true WO2008097448A3 (en) 2009-03-19

Family

ID=39473792

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2008/001126 WO2008097448A2 (en) 2007-02-07 2008-01-28 Methods of forming one or more covered voids in a semiconductor substrate, methods of forming field effect transistors, methods of forming semiconductor-on-insulator substrates, methods of forming a span comprising silicon dioxide, methods of cooling semiconductor devices, methods of forming electromagnetic radiation emitte

Country Status (3)

Country Link
US (26) US7989322B2 (en)
TW (3) TWI490976B (en)
WO (1) WO2008097448A2 (en)

Families Citing this family (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7557002B2 (en) * 2006-08-18 2009-07-07 Micron Technology, Inc. Methods of forming transistor devices
US7989322B2 (en) 2007-02-07 2011-08-02 Micron Technology, Inc. Methods of forming transistors
KR101525590B1 (en) * 2008-10-08 2015-06-04 삼성디스플레이 주식회사 Display substrate and manufacturing method thereof
TWI458129B (en) * 2010-12-21 2014-10-21 Lextar Electronics Corp Light emitting diode chip structure and fabrication method thereof
CN102769002B (en) * 2011-04-30 2016-09-14 中国科学院微电子研究所 Semiconductor device and forming method thereof, encapsulating structure
US8648414B2 (en) 2011-07-01 2014-02-11 Micron Technology, Inc. Semiconductor structures including bodies of semiconductor material, devices including such structures and related methods
US8395217B1 (en) 2011-10-27 2013-03-12 International Business Machines Corporation Isolation in CMOSFET devices utilizing buried air bags
US10435812B2 (en) 2012-02-17 2019-10-08 Yale University Heterogeneous material integration through guided lateral growth
CN104380470B (en) * 2012-05-18 2018-01-02 富士电机株式会社 Semiconductor device
KR102022658B1 (en) * 2012-10-15 2019-09-18 서울바이오시스 주식회사 Semiconductor device having insulation structure and method of fabricating the same
CN104737310B (en) 2012-10-15 2017-09-01 首尔伟傲世有限公司 Semiconductor device and its manufacture method
US8866204B2 (en) 2013-01-30 2014-10-21 Stmicroelectronics, Inc. Method to form finFET/trigate devices on bulk semiconductor wafers
US9059335B2 (en) * 2013-02-27 2015-06-16 Wisconsin Alumni Research Foundation Anisotropic conducting films for electromagnetic radiation applications
US8921992B2 (en) * 2013-03-14 2014-12-30 Raytheon Company Stacked wafer with coolant channels
US8753953B1 (en) * 2013-03-15 2014-06-17 International Business Machines Corporation Self aligned capacitor fabrication
WO2014144698A2 (en) * 2013-03-15 2014-09-18 Yale University Large-area, laterally-grown epitaxial semiconductor layers
US9978589B2 (en) 2014-04-16 2018-05-22 Yale University Nitrogen-polar semipolar and gallium-polar semipolar GaN layers and devices on sapphire substrates
WO2015160909A1 (en) 2014-04-16 2015-10-22 Yale University Method of obtaining planar semipolar gallium nitride surfaces
US9852902B2 (en) 2014-10-03 2017-12-26 Applied Materials, Inc. Material deposition for high aspect ratio structures
US10573627B2 (en) * 2015-01-09 2020-02-25 Silicon Genesis Corporation Three dimensional integrated circuit
US20180175008A1 (en) * 2015-01-09 2018-06-21 Silicon Genesis Corporation Three dimensional integrated circuit
JP6600476B2 (en) * 2015-03-30 2019-10-30 ルネサスエレクトロニクス株式会社 Semiconductor device and manufacturing method thereof
US9735161B2 (en) 2015-09-09 2017-08-15 Micron Technology, Inc. Memory device and fabricating method thereof
US9679897B1 (en) * 2016-04-04 2017-06-13 International Business Machines Corporation High density nanofluidic structure with precisely controlled nano-channel dimensions
TW201810383A (en) 2016-08-12 2018-03-16 耶魯大學 Stacking fault-free semipolar and nonpolar GaN grown on foreign substrates by eliminating the nitrogen polar facets during the growth
KR102318560B1 (en) * 2017-04-12 2021-11-01 삼성전자주식회사 Semiconductor device
JP7179825B2 (en) 2017-08-21 2022-11-29 イーライ リリー アンド カンパニー Drug delivery device with sensing system
JP6932836B2 (en) 2017-08-21 2021-09-08 イーライ リリー アンド カンパニー Dose detection module for drug delivery device
US11740226B2 (en) 2017-10-13 2023-08-29 Analog Devices International Unlimited Company Designs and fabrication of nanogap sensors
KR20190071227A (en) * 2017-12-14 2019-06-24 에스케이하이닉스 주식회사 Electronic device and method for fabricating the same
US10553607B1 (en) 2018-08-24 2020-02-04 Micron Technology, Inc. Method of forming an array of elevationally-extending strings of programmable memory cells and method of forming an array of elevationally-extending strings of memory cells
US10446578B1 (en) 2018-08-24 2019-10-15 Micron Technology, Inc. Methods used in forming an array of elevationally-extending strings of memory cells, methods of forming an array of elevationally-extending strings of memory cells, and methods of forming an array of vertical strings of memory cells
FR3085536A1 (en) * 2018-09-03 2020-03-06 Soitec CFET DEVICE AND METHOD FOR MANUFACTURING SUCH A DEVICE
US11527623B2 (en) * 2020-07-28 2022-12-13 Micron Technology, Inc. Integrated assemblies and methods of forming integrated assemblies
US11355392B2 (en) * 2020-08-07 2022-06-07 Micron Technology, Inc Conductive via of integrated circuitry, memory array comprising strings of memory cells, method of forming a conductive via of integrated circuitry, and method of forming a memory array comprising strings of memory cells

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020090816A1 (en) * 2001-01-03 2002-07-11 Ashby Carol I. Cantilever epitaxial process
WO2007074027A1 (en) * 2005-12-27 2007-07-05 Commissariat A L'energie Atomique Simplified method of producing an epitaxially grown structure

Family Cites Families (102)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH077826B2 (en) * 1983-08-25 1995-01-30 忠弘 大見 Semiconductor integrated circuit
US4528047A (en) 1984-06-25 1985-07-09 International Business Machines Corporation Method for forming a void free isolation structure utilizing etch and refill techniques
US4820654A (en) * 1987-12-09 1989-04-11 Ncr Corporation Isolation of regions in a CMOS structure using selective epitaxial growth
US4993143A (en) * 1989-03-06 1991-02-19 Delco Electronics Corporation Method of making a semiconductive structure useful as a pressure sensor
US5849627A (en) * 1990-02-07 1998-12-15 Harris Corporation Bonded wafer processing with oxidative bonding
SG93197A1 (en) * 1991-02-15 2002-12-17 Canon Kk Etching solution for etching porous silicon, etching method using the etching solution and method of preparing semiconductor member using the etching solution
CA2061796C (en) * 1991-03-28 2002-12-24 Kalluri R. Sarma High mobility integrated drivers for active matrix displays
JPH05217824A (en) * 1992-01-31 1993-08-27 Canon Inc Semiconductor wafer and its manufacture
JP3416163B2 (en) * 1992-01-31 2003-06-16 キヤノン株式会社 Semiconductor substrate and manufacturing method thereof
JP3237888B2 (en) * 1992-01-31 2001-12-10 キヤノン株式会社 Semiconductor substrate and method of manufacturing the same
JP3542376B2 (en) * 1994-04-08 2004-07-14 キヤノン株式会社 Manufacturing method of semiconductor substrate
JP3532625B2 (en) * 1994-10-06 2004-05-31 東芝マイクロエレクトロニクス株式会社 Method for manufacturing semiconductor device
JP3378135B2 (en) * 1996-02-02 2003-02-17 三菱電機株式会社 Semiconductor device and manufacturing method thereof
US6509313B1 (en) * 1996-02-28 2003-01-21 Cornell Research Foundation, Inc. Stimulation of immune response with low doses of cytokines
JPH09298195A (en) * 1996-05-08 1997-11-18 Mitsubishi Electric Corp Semiconductor device and its manufacture
US5882532A (en) * 1996-05-31 1999-03-16 Hewlett-Packard Company Fabrication of single-crystal silicon structures using sacrificial-layer wafer bonding
FR2749977B1 (en) * 1996-06-14 1998-10-09 Commissariat Energie Atomique QUANTUM WELL MOS TRANSISTOR AND METHODS OF MANUFACTURE THEREOF
JP3320641B2 (en) * 1996-09-13 2002-09-03 株式会社東芝 Memory cell
JP3602679B2 (en) * 1997-02-26 2004-12-15 株式会社ルネサステクノロジ Semiconductor device and manufacturing method thereof
US5985742A (en) * 1997-05-12 1999-11-16 Silicon Genesis Corporation Controlled cleavage process and device for patterned films
JP3382840B2 (en) * 1997-05-23 2003-03-04 シャープ株式会社 Method for manufacturing semiconductor device
JP3222404B2 (en) * 1997-06-20 2001-10-29 科学技術振興事業団 Method and apparatus for forming insulating film on semiconductor substrate surface
US6534380B1 (en) * 1997-07-18 2003-03-18 Denso Corporation Semiconductor substrate and method of manufacturing the same
EP0895282A3 (en) * 1997-07-30 2000-01-26 Canon Kabushiki Kaisha Method of preparing a SOI substrate by using a bonding process, and SOI substrate produced by the same
JP4301462B2 (en) 1997-09-29 2009-07-22 川崎マイクロエレクトロニクス株式会社 Field effect transistor
DE69839780D1 (en) * 1997-12-19 2008-09-04 Advanced Micro Devices Inc SILICON ON AN ISOLATOR CONFIGURATION WHICH IS COMPATIBLE WITH THE MASS CMOS ARCHITECTURE
TW411589B (en) * 1998-02-27 2000-11-11 Mosel Vitelic Inc Method of manufacturing capacitor bottom electrode and structure thereof
JPH11317527A (en) * 1998-05-06 1999-11-16 Mitsubishi Electric Corp Semiconductor device and manufacture thereof
JP3762144B2 (en) * 1998-06-18 2006-04-05 キヤノン株式会社 Method for manufacturing SOI substrate
JP2000082679A (en) * 1998-07-08 2000-03-21 Canon Inc Semiconductor substrate and production thereof
US6093623A (en) * 1998-08-04 2000-07-25 Micron Technology, Inc. Methods for making silicon-on-insulator structures
US6071783A (en) * 1998-08-13 2000-06-06 Taiwan Semiconductor Manufacturing Company Pseudo silicon on insulator MOSFET device
US6177333B1 (en) * 1999-01-14 2001-01-23 Micron Technology, Inc. Method for making a trench isolation for semiconductor devices
US6268630B1 (en) * 1999-03-16 2001-07-31 Sandia Corporation Silicon-on-insulator field effect transistor with improved body ties for rad-hard applications
DE60030279T2 (en) 1999-03-17 2007-08-30 Mitsubishi Cable Industries, Ltd. SEMICONDUCTOR BASIS, ITS MANUFACTURING METHOD AND SEMICONDUCTOR CRYSTAL MANUFACTURING METHOD
US6433401B1 (en) * 1999-04-06 2002-08-13 Analog Devices Imi, Inc. Microfabricated structures with trench-isolation using bonded-substrates and cavities
EP1043770B1 (en) * 1999-04-09 2006-03-01 STMicroelectronics S.r.l. Formation of buried cavities in a monocrystalline semiconductor wafer and a wafer
US6358791B1 (en) * 1999-06-04 2002-03-19 International Business Machines Corporation Method for increasing a very-large-scale-integrated (VLSI) capacitor size on bulk silicon and silicon-on-insulator (SOI) wafers and structure formed thereby
TW515109B (en) * 1999-06-28 2002-12-21 Semiconductor Energy Lab EL display device and electronic device
US6204524B1 (en) * 1999-07-14 2001-03-20 Micron Technology, Inc. CMOS imager with storage capacitor
US6245636B1 (en) * 1999-10-20 2001-06-12 Advanced Micro Devices, Inc. Method of formation of pseudo-SOI structures with direct contact of transistor body to the substrate
TW473917B (en) * 2000-03-07 2002-01-21 United Microelectronics Corp Step-like structure of silicon on insulation (SOI)
JP2004501503A (en) * 2000-03-07 2004-01-15 マイクロン・テクノロジー・インコーポレーテッド Method of forming almost flat insulating film in integrated circuit
US6552396B1 (en) * 2000-03-14 2003-04-22 International Business Machines Corporation Matched transistors and methods for forming the same
US6406982B2 (en) * 2000-06-05 2002-06-18 Denso Corporation Method of improving epitaxially-filled trench by smoothing trench prior to filling
DE60023464T2 (en) 2000-06-05 2006-07-20 Stmicroelectronics S.R.L., Agrate Brianza Process for the production of integrated chemical microreactors made of semiconductor material and integrated microreactor
US6541861B2 (en) * 2000-06-30 2003-04-01 Kabushiki Kaisha Toshiba Semiconductor device manufacturing method including forming step of SOI structure and semiconductor device having SOI structure
JP2002076336A (en) * 2000-09-01 2002-03-15 Mitsubishi Electric Corp Semiconductor device and soi substrate
JP2002134375A (en) * 2000-10-25 2002-05-10 Canon Inc Semiconductor base body and its manufacturing method, and surface shape measurement method of laminated base body
DE10054484A1 (en) 2000-11-03 2002-05-08 Bosch Gmbh Robert Micromechanical component and corresponding manufacturing method
US6610615B1 (en) * 2000-11-15 2003-08-26 Intel Corporation Plasma nitridation for reduced leakage gate dielectric layers
US6583440B2 (en) * 2000-11-30 2003-06-24 Seiko Epson Corporation Soi substrate, element substrate, semiconductor device, electro-optical apparatus, electronic equipment, method of manufacturing the soi substrate, method of manufacturing the element substrate, and method of manufacturing the electro-optical apparatus
US20020134503A1 (en) * 2001-03-20 2002-09-26 Accucorp Technical Services, Inc. Silicon wafers bonded to insulator substrates by low viscosity epoxy wicking
US6410938B1 (en) * 2001-04-03 2002-06-25 Advanced Micro Devices, Inc. Semiconductor-on-insulator device with nitrided buried oxide and method of fabricating
US6403485B1 (en) * 2001-05-02 2002-06-11 Chartered Semiconductor Manufacturing Ltd Method to form a low parasitic capacitance pseudo-SOI CMOS device
US6509613B1 (en) * 2001-05-04 2003-01-21 Advanced Micro Devices, Inc. Self-aligned floating body control for SOI device through leakage enhanced buried oxide
US6512244B1 (en) * 2001-05-07 2003-01-28 Advanced Micro Devices, Inc. SOI device with structure for enhancing carrier recombination and method of fabricating same
US6664146B1 (en) * 2001-06-01 2003-12-16 Advanced Micro Devices, Inc. Integration of fully depleted and partially depleted field effect transistors formed in SOI technology
US7023989B1 (en) * 2001-06-19 2006-04-04 Cisco Technology, Inc. Arrangement for delivering applications to a network enabled telephony device
US6680243B1 (en) * 2001-06-29 2004-01-20 Lsi Logic Corporation Shallow junction formation
KR100421046B1 (en) * 2001-07-13 2004-03-04 삼성전자주식회사 Semiconductor device and method for manufacturing the same
US6642579B2 (en) * 2001-08-28 2003-11-04 International Business Machines Corporation Method of reducing the extrinsic body resistance in a silicon-on-insulator body contacted MOSFET
US6808954B2 (en) * 2001-09-07 2004-10-26 Intel Corporation Vacuum-cavity MEMS resonator
US6531375B1 (en) * 2001-09-18 2003-03-11 International Business Machines Corporation Method of forming a body contact using BOX modification
US6870225B2 (en) * 2001-11-02 2005-03-22 International Business Machines Corporation Transistor structure with thick recessed source/drain structures and fabrication process of same
US20030116552A1 (en) 2001-12-20 2003-06-26 Stmicroelectronics Inc. Heating element for microfluidic and micromechanical applications
JP4277481B2 (en) * 2002-05-08 2009-06-10 日本電気株式会社 Semiconductor substrate manufacturing method and semiconductor device manufacturing method
DE10221799A1 (en) * 2002-05-15 2003-11-27 Fujitsu Ltd Semiconductor sensor for detecting target molecules and molecular change effects in protein recognition, analysis and quantification comprises a field effect transistor with a gate produced from SOI substrates
KR100473733B1 (en) 2002-10-14 2005-03-10 매그나칩 반도체 유한회사 Semiconductor device and method for manufacturing the same
DE60228856D1 (en) 2002-12-04 2008-10-23 St Microelectronics Srl Process for producing microchannels in an integrated structure
US7009272B2 (en) * 2002-12-28 2006-03-07 Intel Corporation PECVD air gap integration
US6936851B2 (en) 2003-03-21 2005-08-30 Tien Yang Wang Semiconductor light-emitting device and method for manufacturing the same
US7041575B2 (en) * 2003-04-29 2006-05-09 Micron Technology, Inc. Localized strained semiconductor on insulator
US7153753B2 (en) * 2003-08-05 2006-12-26 Micron Technology, Inc. Strained Si/SiGe/SOI islands and processes of making same
KR100559990B1 (en) * 2003-12-30 2006-03-13 동부아남반도체 주식회사 Active cell isolation body of a semiconductor device and method for forming the same
KR100549007B1 (en) * 2004-03-10 2006-02-02 삼성전자주식회사 Transistors Of A Semiconductor Device Having A Punchthrough Protecton Layer And Methods Of Forming The Same
US7157350B2 (en) 2004-05-17 2007-01-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming SOI-like structure in a bulk semiconductor substrate using self-organized atomic migration
US7755445B2 (en) 2004-08-03 2010-07-13 Banpil Photonics, Inc. Multi-layered high-speed printed circuit boards comprised of stacked dielectric systems
US7335963B2 (en) * 2004-08-25 2008-02-26 Micron Technology, Inc. Light block for pixel arrays
US7653281B2 (en) 2004-09-02 2010-01-26 Ramot At Tel-Aviv University Ltd. Embedded channels, embedded waveguides and methods of manufacturing and using the same
US7229895B2 (en) * 2005-01-14 2007-06-12 Micron Technology, Inc Memory array buried digit line
DE102005010821B4 (en) 2005-03-07 2007-01-25 Technische Universität Berlin Method for producing a component
PL3006458T3 (en) 2005-07-29 2018-05-30 The Government Of The United States Of America, As Represented By The Secretary Of Health And Human Services Mutated pseudomonas exotoxins with reduced antigenicity
JP4534041B2 (en) * 2005-08-02 2010-09-01 株式会社デンソー Manufacturing method of semiconductor device
US7572572B2 (en) * 2005-09-01 2009-08-11 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7416943B2 (en) * 2005-09-01 2008-08-26 Micron Technology, Inc. Peripheral gate stacks and recessed array gates
US7393789B2 (en) * 2005-09-01 2008-07-01 Micron Technology, Inc. Protective coating for planarization
US7952158B2 (en) 2007-01-24 2011-05-31 Micron Technology, Inc. Elevated pocket pixels, imaging devices and systems including the same and method of forming the same
US7378704B2 (en) * 2006-03-16 2008-05-27 Micron Technology, Inc. Semiconductor constructions, and methods of forming semiconductor constructions
US20070249138A1 (en) 2006-04-24 2007-10-25 Micron Technology, Inc. Buried dielectric slab structure for CMOS imager
US7709341B2 (en) * 2006-06-02 2010-05-04 Micron Technology, Inc. Methods of shaping vertical single crystal silicon walls and resulting structures
US7625776B2 (en) * 2006-06-02 2009-12-01 Micron Technology, Inc. Methods of fabricating intermediate semiconductor structures by selectively etching pockets of implanted silicon
US7628932B2 (en) * 2006-06-02 2009-12-08 Micron Technology, Inc. Wet etch suitable for creating square cuts in si
US7557002B2 (en) * 2006-08-18 2009-07-07 Micron Technology, Inc. Methods of forming transistor devices
US7498265B2 (en) * 2006-10-04 2009-03-03 Micron Technology, Inc. Epitaxial silicon growth
US20080113483A1 (en) * 2006-11-15 2008-05-15 Micron Technology, Inc. Methods of etching a pattern layer to form staggered heights therein and intermediate semiconductor device structures
US7989322B2 (en) * 2007-02-07 2011-08-02 Micron Technology, Inc. Methods of forming transistors
JP2008277696A (en) * 2007-05-07 2008-11-13 Toshiba Corp Method of manufacturing semiconductor device
CN100565562C (en) 2007-10-15 2009-12-02 北京派瑞根科技开发有限公司 Electronic label safety identification method
US7682944B2 (en) * 2007-12-14 2010-03-23 Cree, Inc. Pendeo epitaxial structures and devices
EP2816453B1 (en) 2012-02-15 2019-03-27 Murata Manufacturing Co., Ltd. Touch-style input terminal
FR3037442B1 (en) * 2015-06-11 2018-07-06 Commissariat A L'energie Atomique Et Aux Energies Alternatives SPAD PHOTODIODE COVERED BY A NETWORK

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020090816A1 (en) * 2001-01-03 2002-07-11 Ashby Carol I. Cantilever epitaxial process
WO2007074027A1 (en) * 2005-12-27 2007-07-05 Commissariat A L'energie Atomique Simplified method of producing an epitaxially grown structure

Also Published As

Publication number Publication date
TW200839936A (en) 2008-10-01
US8039357B2 (en) 2011-10-18
US20170372942A1 (en) 2017-12-28
US20170352577A1 (en) 2017-12-07
US20150249032A1 (en) 2015-09-03
US20100171176A1 (en) 2010-07-08
US20180019157A1 (en) 2018-01-18
US10727109B2 (en) 2020-07-28
US9117744B2 (en) 2015-08-25
TW201306170A (en) 2013-02-01
US20170372943A1 (en) 2017-12-28
US9023714B2 (en) 2015-05-05
US8617966B2 (en) 2013-12-31
US10304724B2 (en) 2019-05-28
US9922869B2 (en) 2018-03-20
US20080185647A1 (en) 2008-08-07
US9997398B2 (en) 2018-06-12
TWI490976B (en) 2015-07-01
US20170352580A1 (en) 2017-12-07
US10163685B2 (en) 2018-12-25
US20110256694A1 (en) 2011-10-20
US20080188019A1 (en) 2008-08-07
US10026643B2 (en) 2018-07-17
US20170352579A1 (en) 2017-12-07
US10504773B2 (en) 2019-12-10
US20190371648A1 (en) 2019-12-05
US7989322B2 (en) 2011-08-02
US10438840B2 (en) 2019-10-08
US20170352578A1 (en) 2017-12-07
US10153197B2 (en) 2018-12-11
US7749786B2 (en) 2010-07-06
US20220262670A1 (en) 2022-08-18
US20190088532A1 (en) 2019-03-21
US11869804B2 (en) 2024-01-09
US9786548B2 (en) 2017-10-10
US20170372941A1 (en) 2017-12-28
US10998222B2 (en) 2021-05-04
US20240087948A1 (en) 2024-03-14
US20080188051A1 (en) 2008-08-07
US20080187463A1 (en) 2008-08-07
US11348826B2 (en) 2022-05-31
US10438839B2 (en) 2019-10-08
US20190371649A1 (en) 2019-12-05
US8004055B2 (en) 2011-08-23
TWI380398B (en) 2012-12-21
US9059078B2 (en) 2015-06-16
US7709327B2 (en) 2010-05-04
US20130337630A1 (en) 2013-12-19
US20110233734A1 (en) 2011-09-29
US20200161168A1 (en) 2020-05-21
TWI560740B (en) 2016-12-01
WO2008097448A2 (en) 2008-08-14
US10014211B2 (en) 2018-07-03
US20080188073A1 (en) 2008-08-07
US20180301370A1 (en) 2018-10-18
TW201533772A (en) 2015-09-01
US10580687B2 (en) 2020-03-03
US20170372940A1 (en) 2017-12-28

Similar Documents

Publication Publication Date Title
WO2008097448A3 (en) Methods of forming one or more covered voids in a semiconductor substrate, methods of forming field effect transistors, methods of forming semiconductor-on-insulator substrates, methods of forming a span comprising silicon dioxide, methods of cooling semiconductor devices, methods of forming electromagnetic radiation emitte
WO2009108311A3 (en) Isolated transistors and diodes and isolation and termination structures for semiconductor die
WO2007094824A3 (en) Method for double-sided processing of thin film transistors
WO2011154360A3 (en) Integrated circuit having a junctionless depletion-mode fet device
TWI371842B (en) Semiconductor wafer having through-hole vias on saw streets with backside redistribution layer
SG166749A1 (en) Integrated circuit system with through silicon via and method of manufacture thereof
GB2429114B (en) Semiconductor on insulator substrate and devices formed therefrom
SG155152A1 (en) Integrated circuit system employing resistance altering techniques
WO2006124174A3 (en) High voltage silicon carbide mos-bipolar devices having bi-directional blocking capabilities and methods of fabricating the same
WO2011109146A3 (en) Semiconductor-metal-on-insulator structures, methods of forming such structures, and semiconductor devices including such structures
EP2140480A4 (en) Method for manufacturing soi substrate and semiconductor device
EP2229607A4 (en) Silicon-based hardmask composition (si-soh; si-based spin-on hardmask) and process of producing semiconductor integrated circuit device using the same
WO2010151604A3 (en) Methods for fabricating passivated silicon nanowires and devices thus obtained
EP2274768A4 (en) Methods of forming isolated active areas, trenches, and conductive lines in semiconductor structures and semiconductor structures including the same
IL210122A0 (en) Method of preparing an electrical insulation film and application for the metallization of through-vias
EP2301906A4 (en) Silicon nitride board, method for manufacturing the silicon nitride board, and silicon nitride circuit board and semiconductor module using the silicon nitride board
GB2497258A (en) Nanowire field effect transistors
WO2008051552A3 (en) Organic semiconductor materials and methods of preparing and use thereof
EP2234163A4 (en) Semiconductor device and method of manufacturing the device, and method of manufacturing trench gate
WO2011087609A3 (en) Techniques and configurations to impart strain to integrated circuit devices
TWI369761B (en) Semiconductor devices and electronic systems comprising floating gate transistors and methods of forming the same
EP2514858A4 (en) Group iii nitride crystal substrate, group iii nitride crystal substrate having epitaxial layer, and semiconductor device and method for producing the same
MY147432A (en) A variable directional microphone assembly and method of making the microphone assembly
GB2495464A (en) Method, apparatus, and design structure for silicon-on-insulator high-bandwidth circuitry with reduced charge layer
TW200731369A (en) A method of thinning a semiconductor structure

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 08724903

Country of ref document: EP

Kind code of ref document: A2

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 08724903

Country of ref document: EP

Kind code of ref document: A2