WO2008124219A3 - Registered structure formation via the apllication of directed thermal energy to diblock copolymer films - Google Patents

Registered structure formation via the apllication of directed thermal energy to diblock copolymer films Download PDF

Info

Publication number
WO2008124219A3
WO2008124219A3 PCT/US2008/055128 US2008055128W WO2008124219A3 WO 2008124219 A3 WO2008124219 A3 WO 2008124219A3 US 2008055128 W US2008055128 W US 2008055128W WO 2008124219 A3 WO2008124219 A3 WO 2008124219A3
Authority
WO
WIPO (PCT)
Prior art keywords
apllication
thermal energy
diblock copolymer
structure formation
methods
Prior art date
Application number
PCT/US2008/055128
Other languages
French (fr)
Other versions
WO2008124219A2 (en
Inventor
Dan B Millward
Eugene P Marsh
Original Assignee
Micron Technology Inc
Dan B Millward
Eugene P Marsh
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology Inc, Dan B Millward, Eugene P Marsh filed Critical Micron Technology Inc
Publication of WO2008124219A2 publication Critical patent/WO2008124219A2/en
Publication of WO2008124219A3 publication Critical patent/WO2008124219A3/en

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B1/00Devices without movable or flexible elements, e.g. microcapillary devices
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00023Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems without movable or flexible elements
    • B81C1/00031Regular or irregular arrays of nanoscale structures, e.g. etch mask layer
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y30/00Nanotechnology for materials or surface science, e.g. nanocomposites
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L53/00Compositions of block copolymers containing at least one sequence of a polymer obtained by reactions only involving carbon-to-carbon unsaturated bonds; Compositions of derivatives of such polymers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0101Shaping material; Structuring the bulk substrate or layers on the substrate; Film patterning
    • B81C2201/0147Film patterning
    • B81C2201/0149Forming nanoscale microstructures using auto-arranging or self-assembling material
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0198Manufacture or treatment of microstructural devices or systems in or on a substrate for making a masking layer
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/942Masking
    • Y10S438/947Subphotolithographic processing
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/84Manufacture, treatment, or detection of nanostructure
    • Y10S977/888Shaping or removal of materials, e.g. etching
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/84Manufacture, treatment, or detection of nanostructure
    • Y10S977/895Manufacture, treatment, or detection of nanostructure having step or means utilizing chemical property
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/84Manufacture, treatment, or detection of nanostructure
    • Y10S977/90Manufacture, treatment, or detection of nanostructure having step or means utilizing mechanical or thermal property, e.g. pressure, heat
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24479Structurally defined web or sheet [e.g., overall dimension, etc.] including variation in thickness
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24479Structurally defined web or sheet [e.g., overall dimension, etc.] including variation in thickness
    • Y10T428/24612Composite web or sheet
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24744Longitudinal or transverse tubular cavity or cell

Abstract

Methods for fabricating sublithographic, nanoscale linear microchannel arrays over surfaces without defined features utilizing self-assembling block copolymers, and films and devices formed from these methods are provided. Embodiments of the methods use a multilayer induced ordering approach to align lamellar films to an underlying base film within trenches, and localized heating to anneal the lamellar-phase block copolymer film overlying the trenches and outwardly over the remaining surface.
PCT/US2008/055128 2007-03-06 2008-02-27 Registered structure formation via the apllication of directed thermal energy to diblock copolymer films WO2008124219A2 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/714,336 US8083953B2 (en) 2007-03-06 2007-03-06 Registered structure formation via the application of directed thermal energy to diblock copolymer films
US11/714,336 2007-03-06

Publications (2)

Publication Number Publication Date
WO2008124219A2 WO2008124219A2 (en) 2008-10-16
WO2008124219A3 true WO2008124219A3 (en) 2009-03-26

Family

ID=39740594

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2008/055128 WO2008124219A2 (en) 2007-03-06 2008-02-27 Registered structure formation via the apllication of directed thermal energy to diblock copolymer films

Country Status (3)

Country Link
US (3) US8083953B2 (en)
TW (1) TWI354646B (en)
WO (1) WO2008124219A2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8753738B2 (en) 2007-03-06 2014-06-17 Micron Technology, Inc. Registered structure formation via the application of directed thermal energy to diblock copolymer films

Families Citing this family (80)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3940546B2 (en) * 1999-06-07 2007-07-04 株式会社東芝 Pattern forming method and pattern forming material
US8852851B2 (en) 2006-07-10 2014-10-07 Micron Technology, Inc. Pitch reduction technology using alternating spacer depositions during the formation of a semiconductor device and systems including same
US7790045B1 (en) * 2006-09-13 2010-09-07 Massachusetts Institute Of Technology Formation of close-packed sphere arrays in V-shaped grooves
US8394483B2 (en) 2007-01-24 2013-03-12 Micron Technology, Inc. Two-dimensional arrays of holes with sub-lithographic diameters formed by block copolymer self-assembly
US7767099B2 (en) * 2007-01-26 2010-08-03 International Business Machines Corporaiton Sub-lithographic interconnect patterning using self-assembling polymers
US8557128B2 (en) 2007-03-22 2013-10-15 Micron Technology, Inc. Sub-10 nm line features via rapid graphoepitaxial self-assembly of amphiphilic monolayers
US7959975B2 (en) 2007-04-18 2011-06-14 Micron Technology, Inc. Methods of patterning a substrate
US8294139B2 (en) 2007-06-21 2012-10-23 Micron Technology, Inc. Multilayer antireflection coatings, structures and devices including the same and methods of making the same
US8097175B2 (en) 2008-10-28 2012-01-17 Micron Technology, Inc. Method for selectively permeating a self-assembled block copolymer, method for forming metal oxide structures, method for forming a metal oxide pattern, and method for patterning a semiconductor structure
US8372295B2 (en) * 2007-04-20 2013-02-12 Micron Technology, Inc. Extensions of self-assembled structures to increased dimensions via a “bootstrap” self-templating method
US8404124B2 (en) * 2007-06-12 2013-03-26 Micron Technology, Inc. Alternating self-assembling morphologies of diblock copolymers controlled by variations in surfaces
US8080615B2 (en) 2007-06-19 2011-12-20 Micron Technology, Inc. Crosslinkable graft polymer non-preferentially wetted by polystyrene and polyethylene oxide
US8283258B2 (en) 2007-08-16 2012-10-09 Micron Technology, Inc. Selective wet etching of hafnium aluminum oxide films
US8215074B2 (en) * 2008-02-05 2012-07-10 International Business Machines Corporation Pattern formation employing self-assembled material
US8999492B2 (en) 2008-02-05 2015-04-07 Micron Technology, Inc. Method to produce nanometer-sized features with directed assembly of block copolymers
US8101261B2 (en) 2008-02-13 2012-01-24 Micron Technology, Inc. One-dimensional arrays of block copolymer cylinders and applications thereof
US8426313B2 (en) 2008-03-21 2013-04-23 Micron Technology, Inc. Thermal anneal of block copolymer films with top interface constrained to wet both blocks with equal preference
US8425982B2 (en) 2008-03-21 2013-04-23 Micron Technology, Inc. Methods of improving long range order in self-assembly of block copolymer films with ionic liquids
US8114300B2 (en) 2008-04-21 2012-02-14 Micron Technology, Inc. Multi-layer method for formation of registered arrays of cylindrical pores in polymer films
US8114301B2 (en) 2008-05-02 2012-02-14 Micron Technology, Inc. Graphoepitaxial self-assembly of arrays of downward facing half-cylinders
US7989307B2 (en) 2008-05-05 2011-08-02 Micron Technology, Inc. Methods of forming isolated active areas, trenches, and conductive lines in semiconductor structures and semiconductor structures including the same
US10151981B2 (en) * 2008-05-22 2018-12-11 Micron Technology, Inc. Methods of forming structures supported by semiconductor substrates
US8114468B2 (en) 2008-06-18 2012-02-14 Boise Technology, Inc. Methods of forming a non-volatile resistive oxide memory array
US8362179B2 (en) 2008-11-19 2013-01-29 Wisconsin Alumni Research Foundation Photopatternable imaging layers for controlling block copolymer microdomain orientation
US8796155B2 (en) 2008-12-04 2014-08-05 Micron Technology, Inc. Methods of fabricating substrates
US8247302B2 (en) 2008-12-04 2012-08-21 Micron Technology, Inc. Methods of fabricating substrates
US8273634B2 (en) 2008-12-04 2012-09-25 Micron Technology, Inc. Methods of fabricating substrates
US8486613B2 (en) * 2008-12-12 2013-07-16 Samsung Electronics Co., Ltd. Method of manufacturing nano-structure and method of manufacturing a pattern using the method
IT1392754B1 (en) * 2008-12-18 2012-03-16 St Microelectronics Srl CROSS NANOARRAY WITH ANISOTROPIC ACTIVE ORGANIC LAYER
US8268543B2 (en) 2009-03-23 2012-09-18 Micron Technology, Inc. Methods of forming patterns on substrates
US8003521B2 (en) 2009-04-07 2011-08-23 Micron Technology, Inc. Semiconductor processing
US9330934B2 (en) 2009-05-18 2016-05-03 Micron Technology, Inc. Methods of forming patterns on substrates
WO2011104045A1 (en) * 2010-02-26 2011-09-01 Asml Netherlands B.V. Method and apparatus for treatment of self-assemblable polymer layers for use in lithography
KR101865314B1 (en) * 2010-03-18 2018-06-08 보드 오브 리전츠, 더 유니버시티 오브 텍사스 시스템 Surface treatments for alignment of block copolymers
US8304493B2 (en) 2010-08-20 2012-11-06 Micron Technology, Inc. Methods of forming block copolymers
US8455341B2 (en) 2010-09-02 2013-06-04 Micron Technology, Inc. Methods of forming features of integrated circuitry
US8575032B2 (en) 2011-05-05 2013-11-05 Micron Technology, Inc. Methods of forming a pattern on a substrate
US8900963B2 (en) 2011-11-02 2014-12-02 Micron Technology, Inc. Methods of forming semiconductor device structures, and related structures
US9177794B2 (en) 2012-01-13 2015-11-03 Micron Technology, Inc. Methods of patterning substrates
JP2013222785A (en) 2012-04-16 2013-10-28 Toshiba Corp Nonvolatile semiconductor memory device and method of manufacturing the same
WO2013160027A1 (en) * 2012-04-27 2013-10-31 Asml Netherlands B.V. Methods and compositions for providing spaced lithography features on a substrate by self-assembly of block copolymers
US9005877B2 (en) 2012-05-15 2015-04-14 Tokyo Electron Limited Method of forming patterns using block copolymers and articles thereof
US10099437B2 (en) 2012-06-05 2018-10-16 The University Of Akron Fabrication of directionally oriented block copolymer films
US8629048B1 (en) 2012-07-06 2014-01-14 Micron Technology, Inc. Methods of forming a pattern on a substrate
JP6239813B2 (en) * 2012-07-18 2017-11-29 株式会社Screenセミコンダクターソリューションズ Substrate processing apparatus and substrate processing method
JP5764102B2 (en) 2012-09-05 2015-08-12 株式会社東芝 Pattern formation method
JP5758363B2 (en) 2012-09-07 2015-08-05 株式会社東芝 Pattern formation method
US9153477B2 (en) * 2012-09-28 2015-10-06 Intel Corporation Directed self assembly of block copolymers to form vias aligned with interconnects
US9087699B2 (en) 2012-10-05 2015-07-21 Micron Technology, Inc. Methods of forming an array of openings in a substrate, and related methods of forming a semiconductor device structure
US8877658B2 (en) * 2012-10-17 2014-11-04 Globalfoundries Inc. Directed self-assembly of block copolymers using laser annealing
US10151405B1 (en) 2012-11-09 2018-12-11 Praxair Technology, Inc. Valve integrated pressure regulator with shroud and digital display for gas cylinders
US9147574B2 (en) 2013-03-14 2015-09-29 Tokyo Electron Limited Topography minimization of neutral layer overcoats in directed self-assembly applications
US8980538B2 (en) 2013-03-14 2015-03-17 Tokyo Electron Limited Chemi-epitaxy in directed self-assembly applications using photo-decomposable agents
US8975009B2 (en) 2013-03-14 2015-03-10 Tokyo Electron Limited Track processing to remove organic films in directed self-assembly chemo-epitaxy applications
US20140273534A1 (en) 2013-03-14 2014-09-18 Tokyo Electron Limited Integration of absorption based heating bake methods into a photolithography track system
US9209014B2 (en) 2013-03-15 2015-12-08 Tokyo Electron Limited Multi-step bake apparatus and method for directed self-assembly lithography control
US9159558B2 (en) * 2013-03-15 2015-10-13 International Business Machines Corporation Methods of reducing defects in directed self-assembled structures
JP5802233B2 (en) 2013-03-27 2015-10-28 株式会社東芝 Pattern formation method
TWI672788B (en) * 2013-03-27 2019-09-21 日商尼康股份有限公司 Mark forming method, mark detecting method, and component manufacturing method
US9229328B2 (en) 2013-05-02 2016-01-05 Micron Technology, Inc. Methods of forming semiconductor device structures, and related semiconductor device structures
ITRM20130278A1 (en) 2013-05-10 2014-11-11 Consiglio Nazionale Ricerche PROCESS OF MANUFACTURE OF SELF-ASSEMBLED FILMS OF BLOCKED COPOLYMERS
WO2015018590A1 (en) 2013-08-06 2015-02-12 Asml Netherlands B.V. Method of designing lithography features by self-assembly of block copolymer
WO2015034690A1 (en) 2013-09-04 2015-03-12 Tokyo Electron Limited Uv-assisted stripping of hardened photoresist to create chemical templates for directed self-assembly
US10339260B2 (en) * 2013-09-06 2019-07-02 Asml Netherlands B.V. Methodology to generate guiding templates for directed self-assembly
US9177795B2 (en) 2013-09-27 2015-11-03 Micron Technology, Inc. Methods of forming nanostructures including metal oxides
US9793137B2 (en) 2013-10-20 2017-10-17 Tokyo Electron Limited Use of grapho-epitaxial directed self-assembly applications to precisely cut logic lines
US9349604B2 (en) 2013-10-20 2016-05-24 Tokyo Electron Limited Use of topography to direct assembly of block copolymers in grapho-epitaxial applications
WO2015112874A1 (en) * 2014-01-27 2015-07-30 Tokyo Electron Limited Defect-less direct self-assembly
TWI561919B (en) * 2014-03-15 2016-12-11 Univ Texas Ordering block copolymers
US9336809B2 (en) * 2014-08-28 2016-05-10 HGST Netherlands B.V. Method for making an imprint template with data regions and non-data regions using block copolymers
US9306164B1 (en) 2015-01-30 2016-04-05 International Business Machines Corporation Electrode pair fabrication using directed self assembly of diblock copolymers
US9947597B2 (en) 2016-03-31 2018-04-17 Tokyo Electron Limited Defectivity metrology during DSA patterning
US10304803B2 (en) * 2016-05-05 2019-05-28 Invensas Corporation Nanoscale interconnect array for stacked dies
US9910353B2 (en) * 2016-07-29 2018-03-06 Dow Global Technologies Llc Method of negative tone development using a copolymer multilayer electrolyte and articles made therefrom
US9910355B2 (en) * 2016-07-29 2018-03-06 Rohm And Haas Electronic Materials Llc Method of negative tone development using a copolymer multilayer electrolyte and articles made therefrom
US9947548B2 (en) * 2016-08-09 2018-04-17 International Business Machines Corporation Self-aligned single dummy fin cut with tight pitch
US10312200B2 (en) 2017-07-27 2019-06-04 International Business Machines Corporation Integrated circuit security
KR102582668B1 (en) 2018-10-01 2023-09-25 삼성전자주식회사 Method of manufacturing integrated circuit device
US20220102148A1 (en) * 2020-09-25 2022-03-31 Intel Corporation Gate spacing in integrated circuit structures
US20220406712A1 (en) * 2021-06-16 2022-12-22 Micron Technology, Inc. Microelectronic devices, and related electronic systems and methods

Family Cites Families (290)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4623674A (en) * 1985-06-21 1986-11-18 Union Carbide Corporation Polymer/polyols of substituted styrenes and polyurethanes made therefrom
US4877647A (en) * 1986-04-17 1989-10-31 Kansas State University Research Foundation Method of coating substrates with solvated clusters of metal particles
US4797357A (en) 1986-05-30 1989-01-10 Eastman Kodak Company Light-stable reducible compounds and analytical compositions, elements and methods utilizing same
US4818713A (en) 1987-10-20 1989-04-04 American Telephone And Telegraph Company, At&T Bell Laboratories Techniques useful in fabricating semiconductor devices having submicron features
US5328810A (en) 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
US5354489A (en) 1990-08-30 1994-10-11 Asahi Kasei Kogyo Kabushiki Kaisha Method for changing the viscosity of a fluid comprising a liquid crystal compound
US5622668A (en) * 1992-02-07 1997-04-22 The United States Of America As Represented By The Secretary Of The Air Force Method for preparing oriented polymer structures and said structures
EP0588482B1 (en) 1992-08-07 1997-11-05 Fujikura Kasei Co., Ltd. Electro-sensitive composition
US5382373A (en) 1992-10-30 1995-01-17 Lord Corporation Magnetorheological materials based on alloy particles
WO1994012912A1 (en) 1992-11-25 1994-06-09 Hoechst Celanese Corporation Metal ion reduction in bottom anti-reflective coatings for photoresists
US5482656A (en) * 1993-03-04 1996-01-09 Kabushiki Kaisha Toshiba Non-linear optical devices employing a polysilane composition and a polysilane composition therefor
TW272976B (en) 1993-08-06 1996-03-21 Ciba Geigy Ag
US5512131A (en) 1993-10-04 1996-04-30 President And Fellows Of Harvard College Formation of microstamped patterns on surfaces and derivative articles
US6776094B1 (en) 1993-10-04 2004-08-17 President & Fellows Of Harvard College Kit For Microcontact Printing
US5538655A (en) * 1994-06-29 1996-07-23 Arthur D. Little, Inc. Molecular complexes for use as electrolyte components
US5607824A (en) 1994-07-27 1997-03-04 International Business Machines Corporation Antireflective coating for microlithography
JPH0867893A (en) 1994-08-19 1996-03-12 Lubrizol Corp:The Electrorheological fluid of polar solid and an organic semiconductor
US5620850A (en) 1994-09-26 1997-04-15 President And Fellows Of Harvard College Molecular recognition at surfaces derivatized with self-assembled monolayers
US5700902A (en) 1995-07-27 1997-12-23 Circe Biomedical, Inc. Block copolymers
DE69516528T2 (en) 1995-08-04 2000-11-23 Ibm LITHOGRAPHY OR THIN FILM MODIFICATION
US5772905A (en) 1995-11-15 1998-06-30 Regents Of The University Of Minnesota Nanoimprint lithography
US6309580B1 (en) 1995-11-15 2001-10-30 Regents Of The University Of Minnesota Release surfaces, particularly for use in nanoimprint lithography
US5879853A (en) 1996-01-18 1999-03-09 Kabushiki Kaisha Toshiba Top antireflective coating material and its process for DUV and VUV lithography systems
US6096636A (en) 1996-02-06 2000-08-01 Micron Technology, Inc. Methods of forming conductive lines
EP1124158A1 (en) 1996-02-26 2001-08-16 Matsushita Electric Industrial Co., Ltd. Pattern forming material and pattern forming method
US6190949B1 (en) * 1996-05-22 2001-02-20 Sony Corporation Silicon thin film, group of silicon single crystal grains and formation process thereof, and semiconductor device, flash memory cell and fabrication process thereof
US6143647A (en) * 1997-07-24 2000-11-07 Intel Corporation Silicon-rich block copolymers to achieve unbalanced vias
JPH1081889A (en) 1996-09-06 1998-03-31 Bridgestone Corp Powder for electroviscous fluid
US5904824A (en) * 1997-03-07 1999-05-18 Beckman Instruments, Inc. Microfluidic electrophoresis device
US5958704A (en) 1997-03-12 1999-09-28 Ddx, Inc. Sensing system for specific substance and molecule detection
US5948470A (en) * 1997-04-28 1999-09-07 Harrison; Christopher Method of nanoscale patterning and products made thereby
US6890624B1 (en) * 2000-04-25 2005-05-10 Nanogram Corporation Self-assembled structures
US6368871B1 (en) * 1997-08-13 2002-04-09 Cepheid Non-planar microstructures for manipulation of fluid samples
US6884842B2 (en) 1997-10-14 2005-04-26 Alnis Biosciences, Inc. Molecular compounds having complementary surfaces to targets
ATE275600T1 (en) 1997-12-09 2004-09-15 Sba Materials Inc BLOCK COPOLYMER PROCESSING FOR MESOSTRUCTURED INORGANIC OXIDE MATERIALS
US6111323A (en) * 1997-12-30 2000-08-29 International Business Machines Corporation Reworkable thermoplastic encapsulant
DK1053019T3 (en) 1998-01-07 2004-04-13 Debio Rech Pharma Sa Degradable heterobifunctional polyethylene glycol acrylates and gels and conjugates derived therefrom
US6153495A (en) 1998-03-09 2000-11-28 Intersil Corporation Advanced methods for making semiconductor devices by low temperature direct bonding
US7282240B1 (en) 1998-04-21 2007-10-16 President And Fellows Of Harvard College Elastomeric mask and use in fabrication of devices
JP3464004B2 (en) 1998-06-05 2003-11-05 旭化成株式会社 Hydrogenated block copolymer and polypropylene resin composition containing the same
US7074498B2 (en) * 2002-03-22 2006-07-11 Borealis Technical Limited Influence of surface geometry on metal properties
US6897073B2 (en) 1998-07-14 2005-05-24 Zyomyx, Inc. Non-specific binding resistant protein arrays and methods for making the same
US6423410B1 (en) 1998-09-04 2002-07-23 Mds Proteomics, Inc. Ultrasonically generated paramagnetic polymer particles
US6713238B1 (en) * 1998-10-09 2004-03-30 Stephen Y. Chou Microscale patterning and articles formed thereby
KR100699712B1 (en) 1998-11-24 2007-03-27 다우 글로벌 테크놀로지스 인크. A composition containing a cross-linkable matrix precursor and a poragen, and a porous matrix prepared therefrom
US6403382B1 (en) * 1998-12-08 2002-06-11 Regents Of The University Of Minnesota Attachment chemistry for organic molecules to silicon
US6413587B1 (en) 1999-03-02 2002-07-02 International Business Machines Corporation Method for forming polymer brush pattern on a substrate surface
US6270946B1 (en) 1999-03-18 2001-08-07 Luna Innovations, Inc. Non-lithographic process for producing nanoscale features on a substrate
JP4012173B2 (en) 1999-06-07 2007-11-21 株式会社東芝 Porous structure manufacturing method, porous structure forming material, pattern forming method, pattern forming material, electrochemical cell, and hollow fiber filter
JP3940546B2 (en) 1999-06-07 2007-07-04 株式会社東芝 Pattern forming method and pattern forming material
JP4127682B2 (en) 1999-06-07 2008-07-30 株式会社東芝 Pattern formation method
DE60044972D1 (en) 1999-07-02 2010-10-28 Harvard College NANOSCOPIC WIRE CONTAINING ARRANGEMENT, LOGISC
US6251791B1 (en) 1999-07-20 2001-06-26 United Microelectronics Corp. Eliminating etching microloading effect by in situ deposition and etching
US6312971B1 (en) 1999-08-31 2001-11-06 E Ink Corporation Solvent annealing process for forming a thin semiconductor film with advantageous properties
JP2001110801A (en) 1999-10-05 2001-04-20 Takeshi Yao Pattern formation method, electronic element, optical element, and circuit substrate
US6998152B2 (en) 1999-12-20 2006-02-14 Micron Technology, Inc. Chemical vapor deposition methods utilizing ionic liquids
US6517933B1 (en) 2000-01-18 2003-02-11 Nano-Tex, Llc Hybrid polymer materials
US6423465B1 (en) * 2000-01-28 2002-07-23 International Business Machines Corporation Process for preparing a patterned continuous polymeric brush on a substrate surface
US6573030B1 (en) 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US6284657B1 (en) 2000-02-25 2001-09-04 Chartered Semiconductor Manufacturing Ltd. Non-metallic barrier formation for copper damascene type interconnects
US7163712B2 (en) 2000-03-03 2007-01-16 Duke University Microstamping activated polymer surfaces
US6423474B1 (en) 2000-03-21 2002-07-23 Micron Technology, Inc. Use of DARC and BARC in flash memory processing
CA2404296A1 (en) 2000-03-22 2001-09-27 University Of Massachusetts Nanocylinder arrays
US6887332B1 (en) 2000-04-21 2005-05-03 International Business Machines Corporation Patterning solution deposited thin films with self-assembled monolayers
US7491286B2 (en) 2000-04-21 2009-02-17 International Business Machines Corporation Patterning solution deposited thin films with self-assembled monolayers
US7291284B2 (en) * 2000-05-26 2007-11-06 Northwestern University Fabrication of sub-50 nm solid-state nanostructures based on nanolithography
US6503841B1 (en) * 2000-07-07 2003-01-07 Agere Systems Inc. Oxide etch
US6414164B1 (en) 2000-07-12 2002-07-02 International Business Machines Corporation Synthesis of soluble derivatives of sexithiophene and their use as the semiconducting channels in thin-film filed-effect transistors
WO2002018080A1 (en) 2000-08-03 2002-03-07 Upepo & Maji Inc. Metal colloidal solution composition and conductor or ink for forming semiconductor pattern comprising it and method for forming conductor or semiconductor pattern
JP3591827B2 (en) 2000-08-11 2004-11-24 株式会社東芝 Method for producing compact having fine structure
JP2002083949A (en) 2000-09-07 2002-03-22 Nec Corp Cmos image sensor and method of manufacturing the same
US20020084429A1 (en) 2000-10-17 2002-07-04 Craighead Harold G. Electron-beam patterning of functionalized self-assembled monolayers
US6952436B2 (en) 2000-11-14 2005-10-04 Regents Of The University Of California Inorganic/block copolymer-dye composites and dye doped mesoporous materials for optical and sensing applications
US6358813B1 (en) * 2000-11-15 2002-03-19 International Business Machines Corporation Method for increasing the capacitance of a semiconductor capacitors
NL1016779C2 (en) 2000-12-02 2002-06-04 Cornelis Johannes Maria V Rijn Mold, method for manufacturing precision products with the aid of a mold, as well as precision products, in particular microsieves and membrane filters, manufactured with such a mold.
US6432811B1 (en) 2000-12-20 2002-08-13 Intel Corporation Method of forming structural reinforcement of highly porous low k dielectric films by Cu diffusion barrier structures
FR2818650B1 (en) 2000-12-21 2003-02-07 Atofina PROCESS FOR HYDROGENATION OF UNSATURATED BLOCK COPOLYMERS AND HYDROGEN BLOCK COPOLYMERS
US6518194B2 (en) 2000-12-28 2003-02-11 Thomas Andrew Winningham Intermediate transfer layers for nanoscale pattern transfer and nanostructure formation
US7205161B2 (en) 2001-01-10 2007-04-17 Symyx Technologies, Inc. Polymer brushes for immobilizing molecules to a surface or substrate having improved stability
US6566248B1 (en) * 2001-01-11 2003-05-20 Advanced Micro Devices, Inc. Graphoepitaxial conductor cores in integrated circuit interconnects
US6913697B2 (en) 2001-02-14 2005-07-05 Science & Technology Corporation @ Unm Nanostructured separation and analysis devices for biological membranes
EP1374310A4 (en) 2001-03-14 2008-02-20 Univ Massachusetts Nanofabrication
US6537920B1 (en) 2001-03-16 2003-03-25 Advanced Micro Devices, Inc. Formation of vertical transistors using block copolymer lithography
US6817293B2 (en) 2001-03-28 2004-11-16 Dainippon Printing Co., Ltd. Patterning method with micro-contact printing and its printed product
EP1399487A4 (en) * 2001-03-30 2005-08-17 Uab Research Foundation Polymer formation in room temperature ionic liquids
ATE470647T1 (en) * 2001-04-06 2010-06-15 Univ Carnegie Mellon METHOD FOR PRODUCING NANOSTRUCTURED MATERIALS
US20050120902A1 (en) 2001-04-25 2005-06-09 David Adams Edge transfer lithography
US6809210B2 (en) 2001-06-12 2004-10-26 Lucent Technologies Inc. Method of solvating a metal in an aromatic organic liquid
KR100448170B1 (en) * 2001-06-23 2004-09-10 주식회사 태평양 Amphiphilic biodegradable block copolymers comprising polyethylenimine(PEI) as a hydrophilic block and polyester as a hydrophobic block, and self-assembled polymer aggregates in aqueous milieu formed from the block copolymers
WO2003007397A2 (en) * 2001-07-09 2003-01-23 Plastic Logic Limited Solution influenced alignment
US6444318B1 (en) * 2001-07-17 2002-09-03 Surmodics, Inc. Self assembling monolayer compositions
DE10142691B4 (en) 2001-08-31 2006-04-20 Infineon Technologies Ag Method for detecting biochemical reactions and a device therefor
US6751491B2 (en) * 2001-09-01 2004-06-15 M Biotech Inc Analyte measuring biosensor chip using image scanning system
DE10145747A1 (en) 2001-09-17 2003-04-03 Solvent Innovation Gmbh Ionic liquids
US20030108664A1 (en) * 2001-10-05 2003-06-12 Kodas Toivo T. Methods and compositions for the formation of recessed electrical features on a substrate
US6746825B2 (en) * 2001-10-05 2004-06-08 Wisconsin Alumni Research Foundation Guided self-assembly of block copolymer films on interferometrically nanopatterned substrates
US20030080472A1 (en) 2001-10-29 2003-05-01 Chou Stephen Y. Lithographic method with bonded release layer for molding small patterns
US20040058059A1 (en) 2001-11-07 2004-03-25 Linford Mathew Richard Funtionalized patterned surfaces
EP1446356A4 (en) 2001-11-21 2005-04-06 Univ Massachusetts Mesoporous materials and methods
JP3967114B2 (en) 2001-11-22 2007-08-29 株式会社東芝 Processing method
US7087267B2 (en) 2001-11-29 2006-08-08 International Business Machines Corporation Materials and methods for immobilization of catalysts on surfaces and for selective electroless metallization
JP3782357B2 (en) 2002-01-18 2006-06-07 株式会社東芝 Manufacturing method of semiconductor light emitting device
US7115305B2 (en) 2002-02-01 2006-10-03 California Institute Of Technology Method of producing regular arrays of nano-scale objects using nano-structured block-copolymeric materials
US6958572B2 (en) * 2002-02-06 2005-10-25 Ut-Battelle Llc Controlled non-normal alignment of catalytically grown nanostructures in a large-scale synthesis process
CA2475790A1 (en) 2002-02-11 2003-08-21 Rensselaer Polytechnic Institute Directed assembly of highly-organized carbon nanotube architectures
US7060774B2 (en) 2002-02-28 2006-06-13 Merck Patent Gesellschaft Prepolymer material, polymer material, imprinting process and their use
US6890703B2 (en) * 2002-03-06 2005-05-10 International Business Machines Corporation Preparation of crosslinked particles from polymers having activatible crosslinking groups
US6946332B2 (en) 2002-03-15 2005-09-20 Lucent Technologies Inc. Forming nanoscale patterned thin film metal layers
US7807348B2 (en) 2002-03-20 2010-10-05 Wisconsin Alumni Research Foundation Optical imaging of nanostructured substrates
US20030178707A1 (en) 2002-03-21 2003-09-25 Abbott Donald C. Preplated stamped small outline no-lead leadframes having etched profiles
US6765030B2 (en) 2002-03-22 2004-07-20 The University Of North Carolina At Chapel Hill Methods of forming polymeric structures using carbon dioxide and polymeric structures formed therapy
US20040142578A1 (en) * 2002-03-28 2004-07-22 Ulrich Wiesner Thin film nanostructures
US6872645B2 (en) 2002-04-02 2005-03-29 Nanosys, Inc. Methods of positioning and/or orienting nanostructures
US6656308B2 (en) 2002-04-22 2003-12-02 International Business Machines Corporation Process of fabricating a precision microcontact printing stamp
US7135241B2 (en) 2002-05-24 2006-11-14 Board Of Regents, The University Of Texas System Light-emitting block copolymers composition, process and use
US7307343B2 (en) 2002-05-30 2007-12-11 Air Products And Chemicals, Inc. Low dielectric materials and methods for making same
US6753250B1 (en) 2002-06-12 2004-06-22 Novellus Systems, Inc. Method of fabricating low dielectric constant dielectric films
US20030235930A1 (en) 2002-06-25 2003-12-25 Lucent Technologies Inc. Multi-impression nanofeature production
US7077992B2 (en) 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US6932934B2 (en) * 2002-07-11 2005-08-23 Molecular Imprints, Inc. Formation of discontinuous films during an imprint lithography process
US6908861B2 (en) 2002-07-11 2005-06-21 Molecular Imprints, Inc. Method for imprint lithography using an electric field
US7311943B2 (en) 2002-07-17 2007-12-25 Massachusetts Institute Of Technology Templated monolayer polymerization and replication
US20050008828A1 (en) 2002-07-25 2005-01-13 Trustees Of Stevens Institute Of Technology Patterned polymer microgel and method of forming same
US6767693B1 (en) 2002-07-30 2004-07-27 Advanced Micro Devices, Inc. Materials and methods for sub-lithographic patterning of contact, via, and trench structures in integrated circuit devices
US6957608B1 (en) 2002-08-02 2005-10-25 Kovio, Inc. Contact print methods
EP1387169B1 (en) 2002-08-02 2006-05-24 Sony Deutschland GmbH Method of attaching hydrophilic species to hydrophilic macromolecules and immobilizing the hydrophilic macromolecules on a hydrophobic surface
EP2233564A3 (en) 2002-10-30 2012-11-21 Hitachi, Ltd. Cell culture sheet comprising a functional substrate with a group of columnar micro-pillars and its manufacturing method
US20040084298A1 (en) * 2002-10-31 2004-05-06 Y.D. Yao Fabrication of nanocomposite thin films for high density magnetic recording media
TW584670B (en) 2002-10-31 2004-04-21 Academia Sinica Fabrication of nanocomposite thin films for high density magnetic recording media
US6949456B2 (en) * 2002-10-31 2005-09-27 Asm Japan K.K. Method for manufacturing semiconductor device having porous structure with air-gaps
US6911400B2 (en) 2002-11-05 2005-06-28 International Business Machines Corporation Nonlithographic method to produce self-aligned mask, articles produced by same and compositions for same
WO2004044023A1 (en) * 2002-11-07 2004-05-27 Rhodia Chimie Controlled structure copolymer comprising an amphoteric or zwitterionic part
US6699797B1 (en) * 2002-12-17 2004-03-02 Intel Corporation Method of fabrication of low dielectric constant porous metal silicate films
US6930034B2 (en) * 2002-12-27 2005-08-16 International Business Machines Corporation Robust ultra-low k interconnect structures using bridge-then-metallization fabrication sequence
US20040124092A1 (en) * 2002-12-30 2004-07-01 Black Charles T. Inorganic nanoporous membranes and methods to form same
US7078276B1 (en) 2003-01-08 2006-07-18 Kovio, Inc. Nanoparticles and method for making the same
US6940485B2 (en) 2003-01-15 2005-09-06 Xerox Corporation Flexible micron-thin display device
TWI323479B (en) 2003-02-12 2010-04-11 Nantero Inc Devices having horizontally-disposed nanofabric articles and methods of making the same
DE602004027887D1 (en) 2003-02-12 2010-08-12 Nantero Inc FACILITIES WITH VERTICALLY ARRANGED NANOFABRIC
US7066801B2 (en) 2003-02-21 2006-06-27 Dow Global Technologies, Inc. Method of manufacturing a fixed abrasive material
US7001795B2 (en) 2003-02-27 2006-02-21 Micron Technology, Inc. Total internal reflection (TIR) CMOS imager
TW582059B (en) * 2003-03-11 2004-04-01 Ind Tech Res Inst Organic component, method for forming organic semiconductor layer with aligned molecules, and method for forming organic component
US7326514B2 (en) 2003-03-12 2008-02-05 Cornell Research Foundation, Inc. Organoelement resists for EUV lithography and methods of making the same
US7135523B2 (en) 2003-03-14 2006-11-14 Industrial Technology Research Institute Nanoscale helical microstructures and channels from chiral poly(L-lactide) block containing block copolymers
US6812132B2 (en) 2003-03-21 2004-11-02 Intel Corporation Filling small dimension vias using supercritical carbon dioxide
KR100618184B1 (en) 2003-03-31 2006-08-31 비오이 하이디스 테크놀로지 주식회사 Method of crystallization
US7112617B2 (en) 2003-04-22 2006-09-26 International Business Machines Corporation Patterned substrate with hydrophilic/hydrophobic contrast, and method of use
JP2004335962A (en) 2003-05-12 2004-11-25 Seiko Epson Corp Method for forming thin film pattern, device, its manufacturing method, electro-optical device and electronic apparatus
US20060124467A1 (en) * 2003-05-20 2006-06-15 Industrial Technology Research Institute Metal nanodot arrays and fabrication methods thereof
EP1479738A1 (en) 2003-05-20 2004-11-24 DSM IP Assets B.V. Hydrophobic coatings comprising reactive nano-particles
US7632544B2 (en) * 2003-05-20 2009-12-15 Industrial Technology Research Institute Nanopatterned templates from oriented degradable diblock copolymer thin films
US6989426B2 (en) * 2003-06-12 2006-01-24 The Hong Kong Polytechnic University Methods for producing di-block polymers
US7009227B2 (en) 2003-06-16 2006-03-07 Micron Technology, Inc. Photodiode structure and image pixel structure
US7045851B2 (en) * 2003-06-20 2006-05-16 International Business Machines Corporation Nonvolatile memory device using semiconductor nanocrystals and method of forming same
GB2403847B (en) 2003-07-01 2005-11-16 Micron Technology Inc Optical channels for multi-level metal optical imagers and method for manufacturing same
US20050238889A1 (en) * 2003-07-10 2005-10-27 Nancy Iwamoto Layered components, materials, methods of production and uses thereof
US7132370B2 (en) 2003-08-01 2006-11-07 Interuniversitair Microelektronica Centrum (Imec) Method for selective removal of high-k material
GB0318817D0 (en) * 2003-08-11 2003-09-10 Univ Cambridge Tech Method of making a polymer device
US7361991B2 (en) * 2003-09-19 2008-04-22 International Business Machines Corporation Closed air gap interconnect structure
US8133680B2 (en) 2003-09-23 2012-03-13 Wisconsin Alumni Research Foundation Using liquid crystals to detect affinity microcontact printed biomolecules
US7374867B2 (en) * 2003-10-06 2008-05-20 Intel Corporation Enhancing photoresist performance using electric fields
WO2005084175A2 (en) * 2003-10-16 2005-09-15 The Regents Of The University Of California Nanostructures, nanogrooves, and nanowires
US7862849B2 (en) 2003-10-17 2011-01-04 Massachusetts Institute Of Technology Nanocontact printing
US7122482B2 (en) * 2003-10-27 2006-10-17 Molecular Imprints, Inc. Methods for fabricating patterned features utilizing imprint lithography
GB0325748D0 (en) 2003-11-05 2003-12-10 Koninkl Philips Electronics Nv A method of forming a patterned layer on a substrate
US7056757B2 (en) * 2003-11-25 2006-06-06 Georgia Tech Research Corporation Methods of forming oxide masks with submicron openings and microstructures formed thereby
US20050133697A1 (en) 2003-12-23 2005-06-23 Potyrailo Radislav A. Sensor devices containing co-polymer substrates for analysis of chemical and biological species in water and air
US7423164B2 (en) 2003-12-31 2008-09-09 Ut-Battelle, Llc Synthesis of ionic liquids
US6989324B2 (en) 2004-01-15 2006-01-24 The Regents Of The University Of California Fabrication method for arranging ultra-fine particles
US7056849B2 (en) 2004-01-16 2006-06-06 General Electric Company Nanoscale ordered composites of covalent ceramics for high-temperature structural applications via block-copolymer-assisted assembly and method of making
US7405147B2 (en) 2004-01-30 2008-07-29 International Business Machines Corporation Device and methodology for reducing effective dielectric constant in semiconductor devices
DE102004006545B3 (en) 2004-02-10 2005-08-11 Infineon Technologies Ag Method for expanding a trench in a semiconductor structure
US7030495B2 (en) * 2004-03-19 2006-04-18 International Business Machines Corporation Method for fabricating a self-aligned nanocolumnar airbridge and structure produced thereby
CN100429142C (en) 2004-03-24 2008-10-29 哈尔滨工业大学 Method for adjusting and controlling configuration of self-assembling block copolymer template oriented to nano micro machining
US7015113B2 (en) 2004-04-01 2006-03-21 Micron Technology, Inc. Methods of forming trench isolation regions
US20060013956A1 (en) * 2004-04-20 2006-01-19 Angelescu Dan E Method and apparatus for providing shear-induced alignment of nanostructure in thin films
CN102004393B (en) 2004-04-27 2013-05-01 伊利诺伊大学评议会 Composite patterning devices for soft lithography
US7244665B2 (en) * 2004-04-29 2007-07-17 Micron Technology, Inc. Wafer edge ring structures and methods of formation
US7625694B2 (en) * 2004-05-06 2009-12-01 Micron Technology, Inc. Selective provision of a diblock copolymer material
WO2006076016A2 (en) 2004-05-21 2006-07-20 Krzysztof Matyjaszewski Conducting polymers
EP2650906A3 (en) 2004-06-04 2015-02-18 The Board of Trustees of the University of Illinois Methods and devices for fabricating and assembling printable semiconductor elements
EP1763704A2 (en) 2004-06-30 2007-03-21 Koninklijke Philips Electronics N.V. Soft lithographic stamp with a chemically patterned surface
WO2006003594A2 (en) 2004-06-30 2006-01-12 Koninklijke Philips Electronics N.V. Soft lithographic stamp with a chemically patterned surface
US7387939B2 (en) 2004-07-19 2008-06-17 Micron Technology, Inc. Methods of forming semiconductor structures and capacitor devices
JP4389055B2 (en) 2004-07-27 2009-12-24 独立行政法人産業技術総合研究所 Highly oriented film of block copolymer-clay nanocomposite and method for producing the same
US8088293B2 (en) 2004-07-29 2012-01-03 Micron Technology, Inc. Methods of forming reticles configured for imprint lithography
US20060030495A1 (en) 2004-08-06 2006-02-09 Gregg George L Jr Bullet lubrication formula
JP2006055982A (en) 2004-08-23 2006-03-02 Ind Technol Res Inst Nanopatterned template from organizing decomposition diblock copolymer thin film
KR20060020830A (en) * 2004-09-01 2006-03-07 삼성코닝 주식회사 Method for preparing surfactant-templated, mesostructured thin film with low dielectric constant
US7115525B2 (en) 2004-09-02 2006-10-03 Micron Technology, Inc. Method for integrated circuit fabrication using pitch multiplication
US20060057051A1 (en) 2004-09-10 2006-03-16 Sheng Dai Highly ordered porous carbon materials having well defined nanostructures and method of synthesis
US20060060863A1 (en) * 2004-09-22 2006-03-23 Jennifer Lu System and method for controlling nanostructure growth
JP3926360B2 (en) 2004-10-13 2007-06-06 株式会社東芝 Pattern forming method and structure processing method using the same
US7196314B2 (en) 2004-11-09 2007-03-27 Omnivision Technologies, Inc. Image sensor and pixel having an anti-reflective coating over the photodiode
EP1657070B1 (en) 2004-11-10 2008-04-23 Sony Deutschland GmbH A stamp for soft lithography, in particular micro contact printing and a method of preparing the same
US7323387B2 (en) 2004-11-12 2008-01-29 Seagate Technology Llc Method to make nano structure below 25 nanometer with high uniformity on large scale
JP5377857B2 (en) * 2004-11-22 2013-12-25 ウィスコンシン・アラムナイ・リサーチ・ファウンデーション Method and composition for non-periodic pattern copolymer films
US20080032238A1 (en) 2004-11-23 2008-02-07 Lu Jennifer Q System and method for controlling the size and/or distribution of catalyst nanoparticles for nanostructure growth
US20060128165A1 (en) 2004-12-13 2006-06-15 3M Innovative Properties Company Method for patterning surface modification
US7666465B2 (en) 2004-12-29 2010-02-23 Intel Corporation Introducing nanotubes in trenches and structures formed thereby
US8178165B2 (en) 2005-01-21 2012-05-15 The Regents Of The University Of California Method for fabricating a long-range ordered periodic array of nano-features, and articles comprising same
DE102005005325B4 (en) 2005-02-04 2011-12-15 Adesto Technology Corp., Inc. Method for producing a resistively switching non-volatile memory cell
US7341788B2 (en) 2005-03-11 2008-03-11 International Business Machines Corporation Materials having predefined morphologies and methods of formation thereof
US7514764B2 (en) * 2005-03-23 2009-04-07 Wisconsin Alumni Research Foundation Materials and methods for creating imaging layers
US7855046B2 (en) 2005-04-07 2010-12-21 The University Of North Carolina At Charlotte Method and apparatus for fabricating shaped structures and shaped structures including one- , two- or three-dimensional patterns incorporated therein
KR100634327B1 (en) 2005-04-13 2006-10-13 한국기계연구원 Electronic element production method and production device
US20060257633A1 (en) 2005-04-27 2006-11-16 Hitachi Maxell, Ltd. Method for modifying surface of polymer substrate, method for forming plated film on polymer substrate, method for producing polymer member, and coating member
US20060249784A1 (en) * 2005-05-06 2006-11-09 International Business Machines Corporation Field effect transistor device including an array of channel elements and methods for forming
US7767129B2 (en) 2005-05-11 2010-08-03 Micron Technology, Inc. Imprint templates for imprint lithography, and methods of patterning a plurality of substrates
US7371684B2 (en) * 2005-05-16 2008-05-13 International Business Machines Corporation Process for preparing electronics structures using a sacrificial multilayer hardmask scheme
US8399057B2 (en) * 2005-06-08 2013-03-19 The Regents Of The University Of California Ordered vertically oriented porous inorganic films produced through solution processing
US7396781B2 (en) * 2005-06-09 2008-07-08 Micron Technology, Inc. Method and apparatus for adjusting feature size and position
KR100668846B1 (en) 2005-06-10 2007-01-16 주식회사 하이닉스반도체 Method of manufacturing phase change RAM device
JP5570721B2 (en) 2005-06-17 2014-08-13 ザ ユニバーシティ オブ ノース カロライナ アット チャペル ヒル Nanoparticle manufacturing method, system, and material
US7771917B2 (en) 2005-06-17 2010-08-10 Micron Technology, Inc. Methods of making templates for use in imprint lithography
US7118784B1 (en) 2005-06-27 2006-10-10 The Regents Of The University Of California Method and apparatus for controlling nucleation in self-assembled films
US7507618B2 (en) 2005-06-27 2009-03-24 3M Innovative Properties Company Method for making electronic devices using metal oxide nanoparticles
US7776715B2 (en) * 2005-07-26 2010-08-17 Micron Technology, Inc. Reverse construction memory cell
US7306083B2 (en) 2005-07-27 2007-12-11 Gm Global Technology Operations, Inc. Magnetorheological fluid device
EP1909774A2 (en) 2005-08-04 2008-04-16 Angiotech International Ag Block copolymer compositions and uses thereof
US20070045642A1 (en) 2005-08-25 2007-03-01 Micron Technology, Inc. Solid-state imager and formation method using anti-reflective film for optical crosstalk reduction
US7456928B2 (en) * 2005-08-29 2008-11-25 Micron Technology, Inc. Systems and methods for controlling ambient pressure during processing of microfeature workpieces, including during immersion lithography
EP1760527B1 (en) 2005-09-05 2012-06-06 DWI an der RWTH Aachen e.V. Photochemical method for manufacturing nanometrically surface-decorated substrates
JP4598639B2 (en) * 2005-09-27 2010-12-15 Okiセミコンダクタ株式会社 Manufacturing method of semiconductor device
US20070183035A1 (en) 2005-10-31 2007-08-09 Koji Asakawa Short-wavelength polarizing elements and the manufacture and use thereof
WO2007055041A1 (en) 2005-11-10 2007-05-18 National University Corporation Kyoto Institute Of Technology Membrane of block copolymer with oriented cylinder structure and process for producing the same
US20070122749A1 (en) 2005-11-30 2007-05-31 Fu Peng F Method of nanopatterning, a resist film for use therein, and an article including the resist film
DE602006000939T2 (en) * 2006-01-18 2009-07-09 Consiglio Nazionale Delle Ricerche Nanometer device for measuring the conductivity and quantum effects of individual molecules as well as methods of preparation and use
KR20080083674A (en) 2006-01-20 2008-09-18 플렉스트로닉스, 인크 Electrostatic coatings and articles comprising polythiophenes
JP2007194175A (en) 2006-01-23 2007-08-02 Seiko Epson Corp Ink for conductor pattern, conductor pattern, wiring board, electro-optical device and electronic equipment
US7347953B2 (en) 2006-02-02 2008-03-25 International Business Machines Corporation Methods for forming improved self-assembled patterns of block copolymers
US20080073743A1 (en) 2006-02-17 2008-03-27 Lockheed Martin Corporation Templated growth of semiconductor nanostructures, related devices and methods
US20070194403A1 (en) 2006-02-23 2007-08-23 International Business Machines Corporation Methods for fabricating semiconductor device structures with reduced susceptibility to latch-up and semiconductor device structures formed by the methods
US20070208159A1 (en) * 2006-03-02 2007-09-06 General Electric Company Poly(arylene ether) block copolymer compositions, methods, and articles
US7579278B2 (en) * 2006-03-23 2009-08-25 Micron Technology, Inc. Topography directed patterning
US20070222995A1 (en) 2006-03-27 2007-09-27 Jennifer Lu Artifact having a textured metal surface with nanometer-scale features and method for fabricating same
KR100753542B1 (en) * 2006-04-19 2007-08-30 삼성전자주식회사 Polymer resin composition, method of forming a pattern using the same and method of manufacturing a capacitor using the same
WO2007136351A1 (en) 2006-05-22 2007-11-29 Nanyang Technological University Solution-processed inorganic films for organic thin film transistors
US7723009B2 (en) 2006-06-02 2010-05-25 Micron Technology, Inc. Topography based patterning
US20070289943A1 (en) 2006-06-14 2007-12-20 Jennifer Lu Block copolymer mask for defining nanometer-scale structures
US7605081B2 (en) 2006-06-19 2009-10-20 International Business Machines Corporation Sub-lithographic feature patterning using self-aligned self-assembly polymers
JP4673266B2 (en) 2006-08-03 2011-04-20 日本電信電話株式会社 Pattern forming method and mold
US20080038467A1 (en) 2006-08-11 2008-02-14 Eastman Kodak Company Nanostructured pattern method of manufacture
JP4421582B2 (en) 2006-08-15 2010-02-24 株式会社東芝 Pattern formation method
US20080047930A1 (en) 2006-08-23 2008-02-28 Graciela Beatriz Blanchet Method to form a pattern of functional material on a substrate
KR100739000B1 (en) 2006-09-11 2007-07-12 삼성전자주식회사 Methods of forming a phase change memory device
KR100771886B1 (en) 2006-09-27 2007-11-01 삼성전자주식회사 Method of forming fine contact hole and method of fabricating semiconductor device using block copolymer
US7658773B2 (en) 2006-09-29 2010-02-09 Qimonda Ag Method for fabricating a solid electrolyte memory device and solid electrolyte memory device
TWI311337B (en) 2006-10-02 2009-06-21 Au Optronics Corporatio Multi-domain vertical alignment pixel structure and fabrication method thereof
US7592247B2 (en) * 2006-10-04 2009-09-22 International Business Machines Corporation Sub-lithographic local interconnects, and methods for forming same
US7553760B2 (en) 2006-10-19 2009-06-30 International Business Machines Corporation Sub-lithographic nano interconnect structures, and method for forming same
US8343578B2 (en) 2006-10-30 2013-01-01 International Business Machines Corporation Self-assembled lamellar microdomains and method of alignment
US7560222B2 (en) 2006-10-31 2009-07-14 International Business Machines Corporation Si-containing polymers for nano-pattern device fabrication
US7514339B2 (en) 2007-01-09 2009-04-07 International Business Machines Corporation Method for fabricating shallow trench isolation structures using diblock copolymer patterning
KR20080069000A (en) 2007-01-22 2008-07-25 삼성전자주식회사 Liquid crystal display
US8394483B2 (en) 2007-01-24 2013-03-12 Micron Technology, Inc. Two-dimensional arrays of holes with sub-lithographic diameters formed by block copolymer self-assembly
US7767099B2 (en) * 2007-01-26 2010-08-03 International Business Machines Corporaiton Sub-lithographic interconnect patterning using self-assembling polymers
WO2008096335A2 (en) 2007-02-07 2008-08-14 Yeda Research And Development Co. Ltd. Producing an array of nanoscale structures on a substrate surface via a self-assembled template
US7964107B2 (en) 2007-02-08 2011-06-21 Micron Technology, Inc. Methods using block copolymer self-assembly for sub-lithographic patterning
US8083953B2 (en) 2007-03-06 2011-12-27 Micron Technology, Inc. Registered structure formation via the application of directed thermal energy to diblock copolymer films
US8557128B2 (en) 2007-03-22 2013-10-15 Micron Technology, Inc. Sub-10 nm line features via rapid graphoepitaxial self-assembly of amphiphilic monolayers
US20080233297A1 (en) 2007-03-23 2008-09-25 Xerox Corporation Methods of forming a photoreceptor device having a self-assembled patterned binder layer
US7999160B2 (en) 2007-03-23 2011-08-16 International Business Machines Corporation Orienting, positioning, and forming nanoscale structures
US7888228B2 (en) 2007-04-05 2011-02-15 Adesto Technology Corporation Method of manufacturing an integrated circuit, an integrated circuit, and a memory module
US8097175B2 (en) 2008-10-28 2012-01-17 Micron Technology, Inc. Method for selectively permeating a self-assembled block copolymer, method for forming metal oxide structures, method for forming a metal oxide pattern, and method for patterning a semiconductor structure
US7959975B2 (en) 2007-04-18 2011-06-14 Micron Technology, Inc. Methods of patterning a substrate
US8294139B2 (en) 2007-06-21 2012-10-23 Micron Technology, Inc. Multilayer antireflection coatings, structures and devices including the same and methods of making the same
US8372295B2 (en) 2007-04-20 2013-02-12 Micron Technology, Inc. Extensions of self-assembled structures to increased dimensions via a “bootstrap” self-templating method
DE102007024653A1 (en) 2007-05-26 2008-12-04 Forschungszentrum Karlsruhe Gmbh Stamp for microcontact printing and process for its preparation
US8404124B2 (en) 2007-06-12 2013-03-26 Micron Technology, Inc. Alternating self-assembling morphologies of diblock copolymers controlled by variations in surfaces
US8080615B2 (en) 2007-06-19 2011-12-20 Micron Technology, Inc. Crosslinkable graft polymer non-preferentially wetted by polystyrene and polyethylene oxide
KR101291223B1 (en) 2007-08-09 2013-07-31 한국과학기술원 Method of forming fine pattern using block copolymer
US7732533B2 (en) 2007-08-31 2010-06-08 Micron Technology, Inc. Zwitterionic block copolymers and methods
US8083958B2 (en) 2007-12-05 2011-12-27 International Business Machines Corporation Patterning method using a combination of photolithography and copolymer self-assemblying lithography techniques
US7989026B2 (en) 2008-01-12 2011-08-02 International Business Machines Corporation Method of use of epoxy-containing cycloaliphatic acrylic polymers as orientation control layers for block copolymer thin films
US8999492B2 (en) 2008-02-05 2015-04-07 Micron Technology, Inc. Method to produce nanometer-sized features with directed assembly of block copolymers
US8101261B2 (en) 2008-02-13 2012-01-24 Micron Technology, Inc. One-dimensional arrays of block copolymer cylinders and applications thereof
US7696085B2 (en) 2008-02-20 2010-04-13 International Business Machines Corporation Dual damascene metal interconnect structure having a self-aligned via
US7906031B2 (en) 2008-02-22 2011-03-15 International Business Machines Corporation Aligning polymer films
US8168468B2 (en) 2008-02-29 2012-05-01 Freescale Semiconductor, Inc. Method of making a semiconductor device including a bridgeable material
US8426313B2 (en) 2008-03-21 2013-04-23 Micron Technology, Inc. Thermal anneal of block copolymer films with top interface constrained to wet both blocks with equal preference
US8425982B2 (en) 2008-03-21 2013-04-23 Micron Technology, Inc. Methods of improving long range order in self-assembly of block copolymer films with ionic liquids
US8114300B2 (en) 2008-04-21 2012-02-14 Micron Technology, Inc. Multi-layer method for formation of registered arrays of cylindrical pores in polymer films
US8114301B2 (en) 2008-05-02 2012-02-14 Micron Technology, Inc. Graphoepitaxial self-assembly of arrays of downward facing half-cylinders
US8114468B2 (en) 2008-06-18 2012-02-14 Boise Technology, Inc. Methods of forming a non-volatile resistive oxide memory array
US8088551B2 (en) 2008-10-09 2012-01-03 Micron Technology, Inc. Methods of utilizing block copolymer to form patterns
US8173034B2 (en) 2008-11-17 2012-05-08 Micron Technology, Inc. Methods of utilizing block copolymer to form patterns
US8314206B2 (en) 2008-12-02 2012-11-20 Micron Technology, Inc. Block copolymer-comprising compositions and methods of purifying PS-b-PXVP
US8114306B2 (en) 2009-05-22 2012-02-14 International Business Machines Corporation Method of forming sub-lithographic features using directed self-assembly of polymers
US8834956B2 (en) 2009-06-22 2014-09-16 Micron Technology, Inc. Methods of utilizing block copolymer to form patterns

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
SANG-MIN PARK ET AL: "Directed Assembly of Lamellae-Forming Block Copolymers by Using Chemically and Topographically Patterned Substrates", ADVANCED MATERIALS, WILEY VCH, WEINHEIM, DE, vol. 19, no. 4, 26 January 2007 (2007-01-26), pages 607 - 611, XP007905662, ISSN: 0935-9648, [retrieved on 20070126] *

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8753738B2 (en) 2007-03-06 2014-06-17 Micron Technology, Inc. Registered structure formation via the application of directed thermal energy to diblock copolymer films

Also Published As

Publication number Publication date
US20080217292A1 (en) 2008-09-11
TW200902432A (en) 2009-01-16
US8753738B2 (en) 2014-06-17
WO2008124219A2 (en) 2008-10-16
US8409449B2 (en) 2013-04-02
US20120094087A1 (en) 2012-04-19
US8083953B2 (en) 2011-12-27
US20130189492A1 (en) 2013-07-25
TWI354646B (en) 2011-12-21

Similar Documents

Publication Publication Date Title
WO2008124219A3 (en) Registered structure formation via the apllication of directed thermal energy to diblock copolymer films
WO2009102551A3 (en) One-dimensional arrays of block copolymer cylinders and applications thereof
WO2009134635A3 (en) Graphoepitaxial self-assembly of arrays of half-cylinders formed on a substrate
WO2008156977A3 (en) Methods of fabricating nanostructures by use of thin films of self-assembling of diblock copolymers, and devices resulting from those methods
WO2009117238A3 (en) Methods of improving long range order in self-assembly of block copolymer films with ionic liquids
WO2006094313A3 (en) Forming method for polymeric laminated wafers comprising different film materials
JP2005503947A5 (en)
JP2010505661A5 (en)
WO2008149605A1 (en) Variable resistance element and semiconductor device comprising the same
WO2008097736A3 (en) Methods using block copolymer self-assembly for sub-lithographic patterning
EP2006887A3 (en) III-V Nitride semiconductor layer-bonded substrate and semiconductor device
WO2007021762A3 (en) Methods and materials for fabricating microfluidic devices
WO2012106120A3 (en) Forming block copolymer into self-assembled columns
WO2006037933A3 (en) Method for providing mixed stacked structures, with various insulating zones and/or electrically conducting zones vertically localized
EP1812966A4 (en) Trench capacitor with hybrid surface orientation substrate
WO2008081904A1 (en) Engraved plate and base material having conductor layer pattern using the engraved plate
WO2008149708A1 (en) Surface protective film
WO2008108255A1 (en) Transparent conductive film with adhesive layer and method for producing the same
WO2007124209A3 (en) Stressor integration and method thereof
WO2007015789A3 (en) Method of thermoforming
ATE460274T1 (en) HEAT SHRINKABLE, MULTI-LAYER FILM AND PRODUCTION METHOD THEREOF
WO2010139342A8 (en) Lens and method for manufacturing same
WO2009029436A3 (en) Polymer gel structure and method for producing same
JP2009051087A5 (en)
EP2042334A3 (en) Method of producing heat-sensitive transfer image-receiving sheet

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 08780449

Country of ref document: EP

Kind code of ref document: A2

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 08780449

Country of ref document: EP

Kind code of ref document: A2