WO2009016437A1 - Method of manufacturing a semiconductor device and semiconductor device obtainable therewith - Google Patents

Method of manufacturing a semiconductor device and semiconductor device obtainable therewith Download PDF

Info

Publication number
WO2009016437A1
WO2009016437A1 PCT/IB2007/054859 IB2007054859W WO2009016437A1 WO 2009016437 A1 WO2009016437 A1 WO 2009016437A1 IB 2007054859 W IB2007054859 W IB 2007054859W WO 2009016437 A1 WO2009016437 A1 WO 2009016437A1
Authority
WO
WIPO (PCT)
Prior art keywords
layer
material layer
logic
gate
area
Prior art date
Application number
PCT/IB2007/054859
Other languages
French (fr)
Inventor
Virginie Beugin
Massud Abubaker Aminpur
Original Assignee
Freescale Semiconductor, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Freescale Semiconductor, Inc. filed Critical Freescale Semiconductor, Inc.
Priority to PCT/IB2007/054859 priority Critical patent/WO2009016437A1/en
Priority to US12/670,502 priority patent/US8043951B2/en
Priority to KR1020107002371A priority patent/KR101374579B1/en
Priority to JP2010518760A priority patent/JP2010535410A/en
Publication of WO2009016437A1 publication Critical patent/WO2009016437A1/en

Links

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/40Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the peripheral circuit region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823462MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/82345MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823456MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different shapes, lengths or dimensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/105Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration including field-effect components
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/40Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the peripheral circuit region
    • H10B41/42Simultaneous manufacture of periphery and memory cells
    • H10B41/49Simultaneous manufacture of periphery and memory cells comprising different types of peripheral transistor

Definitions

  • This invention relates to a method of manufacturing a semiconductor device and to a semiconductor device.
  • patent application publication US 2005/0185446 describes a method for reducing non-uniformity or topography variation between an array and circuitry in a process for manufacturing semiconductor integrated non-volatile memory devices.
  • This prior art document discloses a method in which an intermediate stack of multiple layers is provided during the manufacturing steps of gates structures in both the memory cell array and peripheral circuitry areas which contain logic circuitry.
  • a thin stack comprising at least a thin dielectric layer and a third conductive layer is provided over a second conductive layer before the step of defining the control gate structures in the array and the single gates in the peripheral circuitry.
  • This intermediate stack of multiple layers is used in order to compensate for thickness differences between the dual gate structures in the array and the single gate transistors in the peripheral circuitry.
  • Figures 1A-J schematically show cross-sectional views of an example of an embodiment of a semiconductor device in different stages of a method of manufacturing non-volatile memory, logic devices and/or high-voltage devices.
  • Figures 2A-E schematically show cross-sectional views of an example of an embodiment of a semiconductor device in different stages of a first example of an embodiment of a method according to the invention.
  • Figures 3A-B schematically show cross-sectional views of an example of an embodiment of a semiconductor device in different stages of a second example of an embodiment of a method according to the invention.
  • Figure 4 schematically shows a cross-sectional view of an example of an embodiment of a semiconductor device in a stage of a third example of an embodiment of a method according to the invention.
  • nonvolatile memory for example flash memory or Electrically Erasable Programmable Read Only Memory (EEPROM)
  • EEPROM Electrically Erasable Programmable Read Only Memory
  • the formation of the non-volatile memory on a substrate may include forming a floating gate structure 14 and a control gate structure 15 in a stacked configuration with the floating gate structure.
  • the method may further include forming logic devices 30 on the substrate.
  • the formation of the logic devices 30 may include forming a logic gate structure.
  • High voltage devices 20 may also be formed, and for example a high voltage gate structure may be formed. Referring to FIG.
  • respective areas 1-3 for non-volatile memory 10, high-voltage devices 20, and logic devices 30 may be defined.
  • the areas 1-3 may for instance be on different regions a wafer, however the areas 1-3 may also be in the same areas of a wafer.
  • the non-volatile memory 10 and/or the high-voltage devices 20 and/or the logic devices 30 may be manufactured more or less simultaneously.
  • steps of the manufacturing of the non-volatile memory 10 may be performed simultaneously with steps of the manufacturing of or the logic devices 30 and/or the high-voltage devices 20.
  • one or more active regions 1 12 may have been formed, for example in the substrate 4, which is to form the channel of a floating gate field effect transistor and/or part of a logic device and/or high voltage devices, for example by providing the substrate with a suitable doping profile.
  • a floating gate structure may be formed on top of the part that will form the channel.
  • an oxide layer may be formed, such as a tunnel oxide layer 113, above the active region 112 in the memory area 1.
  • gate material may be deposited, such as a second polysilicon layer 1 14.
  • a first polysilicon layer 110 or other suitable gate material layer may have been deposited.
  • the first polysilicon layer 110 is formed into a gate structure 31 e.g. of the logic devices 30, on top of the active regions in the area 1 of the nonvolatile memory 10.
  • the first polysilicon layer 1 10 may for instance be a non patterned layer of uniform thickness that has been deposited in the memory area 1 , the high voltage area 2 and the logic area 3.
  • the first polysilicon layer 110 may for example have a thickness of about 0.03 to 0.15 ⁇ m.
  • the deposition of the first polysilicon layer 110 may have been preceded by the formation of a gate oxide layer 100 in areas 1-3.
  • the thickness of the grown gate oxide layer 100 may for example differ over the surface of the substrate 4 and for example, be a thin gate oxide of 65 A
  • Angstrom in the memory area 1 and the high voltage area 2 and have a different thickness in the logic area 3 for different types of logic devices, e.g 25 A and 65 A respectively.
  • the first polysilicon layer 110 may be removed in the memory area 1 and be preserved in the other areas 2,3.
  • the first polysilicon layer 110 may be etched, for example by providing a protective layer 115 on top of a first polysilicon layer 110 in the areas where the first polysilicon layer 110 is to be preserved, such as the logic area 3 and/or the high-voltage area 2 and exposing the first polysilicon layer 110 to an etching medium in area(s) where the first polysilicon layer 110 is to be removed, such as above an active region 112.
  • the gate oxide layer 100 may in the exposed areas, e.g in the memory area 1 , act as an etch stop layer which protects the active region 112 against etching..
  • the protective layer 1 15 may for example be a photo-resist layer which is deposited, for example by spinning or other suitable technique, on the substrate 4 and first poly silicon layer 1 10 and patterned using photolithography or other techniques such that the resist is removed above the parts that are to be removed.
  • the protective layer 115 may then be removed in a stripping process using a suitable stripping medium, for example by resolving the layer in a suitable solvent or dry resist stripping medium.
  • a top surface of the substrate 4 and/or the first polysilicon layer 110 may be oxidized to form the tunnel oxide layer 113 which will act as the gate oxide for the transistors in the memory area 1 .
  • the oxide layer may for example have a thickness of less than 10 nm, such as 8.5 nm for example.
  • the top surface of substrate 4 may be oxidised after removal of the first polysilicon layer 110.
  • the top surface of the first polysilicon layer 110 may also be oxidised at the same time to form a oxide layer in those areas 2,3.
  • the second polysilicon layer 114 may be deposited after formation of the oxide layer 1 13.
  • the second polysilicon layer 114 may for instance be a non patterned layer of uniform thickness, for example of about 0.03 to 0.15 ⁇ m, that is been deposited in the memory area 1 , the high voltage area 2 and the logic area 3.
  • the second polysilicon layer 114 may be deposited on top of the oxide layer 113.
  • a stack of the substrate 4 oxide layer 1 13 and the second polysilicon layer 114 is formed.
  • the gate oxide layer 100, the first polysilicon layer 1 10, the oxide layer 113 and the second polysilicon layer 114 is formed.
  • the second polysilicon layer 1 14 may be patterned to separate for example the floating gates of different non-volatile memory devices from each other. As shown in FIG.
  • a patterned resist layer 116 (or other protective layer) may be provided on top of the second polysilicon layer 114, to preserve the second polysilicon layer 114 where desired, such as at the location of the floating gate structures in the memory area 1 and the second polysilicon layer 114 may be - A - removed at the locations not covered by the resist layer 116.
  • an Anti reflective coating (ARC) layer Prior to the photo resist layer 116 an Anti reflective coating (ARC) layer may be deposited prior to the photo resist layer 116.
  • ARC Anti reflective coating
  • the second polysilicon layer 114 may be etched in an area of a shallow-trench isolation (STI) 117 until the oxide of the STI 117 is reached.
  • the second polysilicon layer 1 14 may be exposed to a suitable etching medium.
  • the second polysilicon layer 114 may for instance be etched until the top surface of the oxide layer 113 is exposed.
  • the oxide layer 113 acts as an etch stop layer in order to protect the fist polysilicon layer 110 from etching.
  • the layer 116 may then be removed using a suitable stripping medium, for example by resolving the layer in a suitable solvent or dry resist stripping medium.
  • an isolating layer may be formed on top of the floating gate electric layer (which in this example is formed by the second polysilicon layer 114), which will separate the floating gate from the control gate in the memory area 1.
  • the floating gate electric layer which in this example is formed by the second polysilicon layer 114
  • a stack of Siliconoxide/Siliconnitride/Siliconoxide layers (e.g. SiO2/Si3N4/SiO2), commonly referred to as an Oxide/Nitride/Oxide or ONO layer 118, may be provided where desired, such as in the memory area 1.
  • the ONO layer 118 may for example, be of about 16 nm thickness with e.g.
  • the ONO layer 118 may for example be deposited in all the areas 1-3 and be etched away where desired such as in the high-voltage area 2.
  • a resist layer 119 or other protective layer may be provided, to preserve the ONO layer 1 18 where desired and the parts not covered by the resist layer 119 may be exposed to an etching medium.
  • the resist layer 119 is used in at a step that removes the ONO layer 118 and the first poly silicon layer 110 in the High voltage area 2 in order to grow a High voltage oxide.
  • a high voltage oxide layer 120 e.g.
  • the high voltage oxide layer 120 which may for instance be formed into a high voltage gate dielectric which separates the channel of high-voltage FETs from the gate thereof.
  • the high voltage oxide layer 120 may for example be provided after removal in the high-voltage area 2 of the ONO layer 1 18, the oxide layer 113 and the first polysilicon layer 1 10, for example by etching.
  • the oxide layer 100 will act as an etch stop layer.
  • the resist layer 119 may then be removed, for example using a suitable stripping medium.
  • a control gate structure may be provided in a stacked configuration with the floating gate structure.
  • a gate material layer may be provided in the parts of the memory area 1 where the control gate structure is to be provided.
  • a gate material layer may be provided in the parts of the memory area 1 where the control gate structure is to be provided.
  • a third polysilicon layer 121 or other suitable gate material layer may be deposited.
  • the third polysilicon layer 121 may for instance have a thickness of 0.03 to 0.15 ⁇ m.
  • the third polysilicon layer 121 may be deposited in other areas as well, for example in the high voltage area 2 and the logic area 3.
  • the polysilicon layer 121 may be patterned in the memory area 1.
  • an amorphous carbon layer 122 and a DARC (Dielectric Anti Reflective Coating) or Antireflective capping layer, such as a Tetra-ethyl-ortho-silicate (TEOS) hard mask layer 123 may be deposited on top of the third polysilicon layer 121 .
  • the amorphous carbon layer 122 may for instance have a thickness of 300 nm.
  • the carbon layer 122 may for instance be deposited by chemical vapor deposition (CVD) of a gas mixture comprising a carbon source.
  • the TEOS layer 123 may for instance have a thickness of 20 nm.
  • the TEOS layer 123 may be covered with a layer of resist 124.
  • the layer of resist 123 may be patterned in desired areas, such as in the memory area 1 in order to expose the TEOS layer 122, the amorphous carbon layer 122, the third polysilicon layer 121 , the ONO layer 118 and the second polysilicon layer 114 at those locations to an etching medium. Thereby, for instance, separations between different control gates can be created. As shown in FIG. 1 F, the amorphous carbon layer 122 and the TEOS layer 123 may thereafter be removed, for instance using a suitable stripping fluid or using a dry stripping process.
  • the third polysilicon layer 121 may be removed in desired areas.
  • the third polysilicon layer 121 may be removed entirely, whereas in the high voltage area 2 or the memory area 1 the third polysilicon layer 121 may be removed locally, in order to pattern a structure.
  • a high voltage gate structure may be patterned in the third polysilicon layer 121
  • in the memory area 1 passages through the polysilicon layer 121 in order to provide contacts to the second polysilicon layer 1 14 which forms the floating gate material in this example
  • the third polysilicon layer 121 which forms the control gate material in this example
  • a resist layer 125 may for example be provided on the third polysilicon layer 121 and be patterned, such that the third polysilicon layer 121 is exposed in the areas where the third polysilicon layer 121 is to be removed. As shown in FIG. 1 H, for instance in the parts of the memory area 1 where the polysilicon layer 121 is exposed, the polysilicon layer 121 may be etched until the bottom oxide 126 of the ONO layer 118 is exposed. In the high voltage area 2, for instance, the third polysilicon layer 121 may be etched in the parts where it is exposed until the high voltage oxide layer 120 is exposed, to form high voltage gate structures. In the logic area 3, the polysilicon layer 121 may be etched until the bottom oxide 126 of the ONO layer 118 is exposed.
  • a resist layer 128 or other protective layer may be provided and patterned in the logic area 3 in order to create gate structures for the logic devices.
  • the resist layer 128 may for example be deposited on top of an anti reflective coating (ARC) layer 127A and/or a hard mask layer 127B.
  • the exposed parts, that is those not covered by the resist layer 128, may for example be etched.
  • the exposed parts of the logic area 3 are etched until the gate oxide layer in order to separate different gate structures from each other in the logic area 3.
  • the resist layer 128, the anti reflective coating (ARC) layer 127A and/or the hard mask layer 127B may be removed, resulting in the gate structures of the logic devices, as shown in FIG. U.
  • the logic gate structure may for instance be formed by depositing one or more gate material layers and patterning the gate material layers into the logic gate structure 31.
  • the gate material may, as explained with reference to FIGs. 1A-J, for example include a polysilicon layer 110.
  • the gate may alternatively be of another type of gate material and/or include two or more material layers.
  • a filling material layer 130 may be deposited over the memory area 1 and the logic area 3 (and if present, over the high voltage area 2). As illustrated in FIG. 2B, the filling material layer 130 may then be partially removed, by reducing the thickness of the filling material layer 130, at least until a top surface 34 of the one or more gate material layers is exposed. Thereby, the non-uniformity of the topography between the memory area 1 and the logic area 3 may be reduced. Accordingly, the risk of damage to the memory devices, for example due to pitting in the peripheral areas P thereof, may be reduced. Furthermore, the filling material can be deposited (and removed) without requiring a complete overhaul of the flow of processing steps.
  • the filling material layer 130 may be partially removed using a suitable process which selectively removes the desired part of the filling material layer 130 while leaving the stack and the gate material layers intact.
  • the filling material has filled the empty spaces in logic area 3 and the high voltage areas 2.
  • the difference in height between those spaces and the top of the stack is reduced.
  • the thickness of the filling material is reduced more or less uniformly over the substrate, until the top surface 34 is just exposed.
  • the high voltage area 2 and the logic area 3 have a very low topology and are more or less flat.
  • the trenches between the stacks in the memory area 1 are filled by the filling material 130 and after the partial removal of the filling layer 130, the difference in height between the trenches and the top of the stacks is reduced as well.
  • the filling material layer 130 is deposited on the hard mask layer 127.
  • the hard mask layer 127 may have been deposited over the gate material layer, e.g. the first polysilicon layer 110 in the logic area 3 or the third polysilicon layer 121 in the high voltage area 2, and on the control gate structure 15 in the memory area 1.
  • the filling material layer 130 may thus cover the stack of the floating gate structure 14 and the control gate structure 15 and cover the gate material layers in the logic area 3.
  • the filling material layer 130 may thus be removed above the stack of the floating gate structure 14 and the control gate structure 15 as well as above the gate material layers, while in the memory area 1 , a part of the filling material layer 130 remains in the trenches between the stacks and, in the high voltage area 2 and the logic area 3, the spaces adjacent to the gate material layers remain (partially) filled with the filling material layer 130.
  • the remaining thickness of the filling layer in the trenches between stack(s) may exceed the thickness in the spaces next to the gate material layers and for example be smaller than the height of the stacks but larger than the thickness of the gate material layers.
  • the filling material may be subjected to further processing.
  • a cure may be performed in order to harden the filling material, for example, to increase the resistance to post processing temperatures.
  • the top surface 34 may, for example, be the gate material layer (e.g. in this example the first polysilicon layer) 110 itself or, as shown in FIGs. 2 and 4, a layer 127,133 covering the gate material layer 31.
  • the layer covering the gate material layer 110 may for example be a hard mask layer 127, for example a TEOS hard mask or an (inorganic) anti-reflective coating (for example a DARC, Dielectric Anti Reflective Coating) layer 133, as is for instance shown in FIG. 4.
  • the layer covering the gate material layer 110 may for example have been provided before the filling material layer 130 is applied. After reduction of the filling material layer 130, a logic gate structure 31 may be formed from the gate material layer 110.
  • a photo-resist layer 132 may be deposited on the one or more gate material layers such that the top surface 34 is covered.
  • the photo-resist layer 132 may be applied over the whole wafer area.
  • the photo-resist layer 132 may for example cover the top surface of the memory area 1 , the high voltage area 2 and the logic area 3.
  • the photo-resist layer 132 may be patterned in the logic area 3 such that parts of the top surface 34 are exposed, as shown in FIG. 2C .
  • an anti-reflective coating layer (ARC) 131 may have been deposited before depositing the photo-resist layer 132.
  • an ARC layer such as a bottom anti- reflective coating (Bare) or a dielectric ARC (DARC) layer may be deposited, for example when no ARC has been deposited before the filling material.
  • the ARC layer 131 may for instance be deposited on the top surface of a remaining part of the filling material layer 130 and on the exposed top surface 34.
  • the ARC layer 131 may be deposited on the top surfaces in the logic area 3 and other parts such as in the memory area 1 and the high voltage area 2.
  • the photo-resist layer 132 may be patterned such that parts of the top surface 34 in the logic area 3 are exposed and the gate material layer may at least partially be removed in the areas where the top surface is exposed.
  • first layer forming the top surface in this example the ARC layer 131 , and other layers 127 between the top surface 34 and the gate material layer 31 may be removed.
  • the BARC layer 131 and the hard mask layer 127 may be removed at the locations where the top surface 34 is exposed
  • the filling material layer 130, the ARC layer 131 and the photo-resist layer 132 may be removed.
  • filling material layer 130, the ARC layer 131 and the photo-resist layer 132 may be exposed to suitable stripping media such as a dry resist stripping medium or a suitable solvent liquid.
  • this may result in the gate material being covered by a hard mask layer 127 in the areas that were covered by the photo-resist layer 132. Parts of the gate material in the logic area 3 not covered by the a mask layer 127 may then be exposed to an etching medium, resulting in the gate material being removed in those exposed parts 137 and separate gate structures may thereby be obtained, as has been explained with reference to FIG. U.
  • the mask layer 127 may then be removed. For example by exposing the mask layer to suitable stripping media such as a dry resist stripping medium or a suitable solvent liquid.
  • the filling material layer may be any suitable filling material.
  • the filling material may for example be made of a photo-resist or a dielectric resin, or any kind of spin on dielectric or polymers.
  • photo-resist sensitive to light in the 1-line from a mercury-vapour lamp has been found to be a suitable type of photo-resist.
  • a dielectric resin layer 130' may be used as a filling material.
  • a suitable dielectric resin has been found to be the dielectric resin traded under the name SiLK by The Dow Chemical Company.
  • a layer 134 of for instance amorphous carbon or other hard mask may be deposited.
  • a dielectric antireflective coating (DARC) is applied on top of the amorphous carbon layer 134 .
  • DARC dielectric antireflective coating
  • a photo resist layer 136 may be deposited on top of the DARC layer 135.
  • the photo resist layer 136 may be patterned where desired, for instance in the logic area 3 and subsequently in the parts not covered by the photo resist 136, one or more layers may be etched away.
  • the DARC layer 135 and/or the amorphous carbon layer 134 and/or the gate material layer may be removed.
  • the remaining photo resist 136, DARC 135, amorphous carbon 134 and filling material 130' may then be removed using suitable processing, such as dry resist stripping or using a suitable solvent.
  • the semiconductor substrate described herein can be any semiconductor material or combinations of materials, such as gallium arsenide, silicon germanium, silicon-on-insulator (SOI), silicon, monocrystalline silicon, the like, and combinations of the above.
  • SOI silicon-on-insulator
  • the protective layers may be patterned using any suitable patterning technique, such as photo-lithography, electron beam lithography or other suitable patterning techniques.
  • any reference signs placed between parentheses shall not be construed as limiting the claim.
  • the word 'comprising' does not exclude the presence of other elements or steps then those listed in a claim.
  • the words 'a' and 'an' shall not be construed as limited to 'only one', but instead are used to mean 'at least one', and do not exclude a plurality.

Abstract

A method of manufacturing a semiconductor device on a substrate (4). The method includes forming a non- volatile memory (10) in a memory area (1 ) of the substrate (4). The forming non- volatile memory on a substrate (4) includes formation in the memory area (1 ) of a floating gate structure and of a control gate structure which, is in. a stacked configuration with the floating gate structure. One or more gate material layers are formed in a logic area (3) of the substrate (4). After forming the control gate structure and the gate material layer, a filling material layer (130, 130') is deposited over the logic area (3) and the memory area (1). The filling material layer (130,130') is partially removed by reducing the thickness of the filling material in the logic area (3) and the memory area (1), at least until a top surface of the one or more gate material layers is exposed. Logic devices are formed in the logic area (3), the formation includes forming a logic gate structure from the gate material layer.

Description

Title : METHOD OF MANUFACTURING A SEMICONDUCTOR DEVICE AND SEMICONDUCTOR DEVICE OBTAINABLE THEREWITH
Description
Field of the invention
This invention relates to a method of manufacturing a semiconductor device and to a semiconductor device.
Background of the invention
United states patent application publication US 2005/0185446 describes a method for reducing non-uniformity or topography variation between an array and circuitry in a process for manufacturing semiconductor integrated non-volatile memory devices. This prior art document discloses a method in which an intermediate stack of multiple layers is provided during the manufacturing steps of gates structures in both the memory cell array and peripheral circuitry areas which contain logic circuitry. A thin stack comprising at least a thin dielectric layer and a third conductive layer is provided over a second conductive layer before the step of defining the control gate structures in the array and the single gates in the peripheral circuitry. This intermediate stack of multiple layers is used in order to compensate for thickness differences between the dual gate structures in the array and the single gate transistors in the peripheral circuitry.
However, a disadvantage of the method disclosed in this prior art document is that the intermediate stack requires a large amount of additional processing steps.
Summary of the invention The present invention provides a method of manufacturing a semiconductor device and a semiconductor device as described in the accompanying claims.
Specific embodiments of the invention are set forth in the dependent claims.
These and other aspects of the invention will be apparent from and elucidated with reference to the embodiments described hereinafter.
Brief description of the drawings
Further details, aspects and embodiments of the invention will be described, by way of example only, with reference to the drawings.
Figures 1A-J schematically show cross-sectional views of an example of an embodiment of a semiconductor device in different stages of a method of manufacturing non-volatile memory, logic devices and/or high-voltage devices.
Figures 2A-E schematically show cross-sectional views of an example of an embodiment of a semiconductor device in different stages of a first example of an embodiment of a method according to the invention. Figures 3A-B schematically show cross-sectional views of an example of an embodiment of a semiconductor device in different stages of a second example of an embodiment of a method according to the invention.
Figure 4 schematically shows a cross-sectional view of an example of an embodiment of a semiconductor device in a stage of a third example of an embodiment of a method according to the invention.
Detailed description of the preferred embodiments
Referring to FIGs. 1-4, examples methods of manufacturing a semiconductor device with both non-volatile memory and logic devices are illustrated therein. In the shown examples, nonvolatile memory 10, for example flash memory or Electrically Erasable Programmable Read Only Memory (EEPROM), is formed on a substrate 4 . As explained in more detail below, the formation of the non-volatile memory on a substrate may include forming a floating gate structure 14 and a control gate structure 15 in a stacked configuration with the floating gate structure. The method may further include forming logic devices 30 on the substrate. The formation of the logic devices 30 may include forming a logic gate structure. High voltage devices 20 may also be formed, and for example a high voltage gate structure may be formed. Referring to FIG. 1 , for example on a common semiconductor substrate 4 respective areas 1-3 for non-volatile memory 10, high-voltage devices 20, and logic devices 30 may be defined. The areas 1-3 may for instance be on different regions a wafer, however the areas 1-3 may also be in the same areas of a wafer.
As illustrated in FIGs. 1A-H, the non-volatile memory 10 and/or the high-voltage devices 20 and/or the logic devices 30 may be manufactured more or less simultaneously. For example, steps of the manufacturing of the non-volatile memory 10 may be performed simultaneously with steps of the manufacturing of or the logic devices 30 and/or the high-voltage devices 20. As shown in the example of FIG. 1A, one or more active regions 1 12 may have been formed, for example in the substrate 4, which is to form the channel of a floating gate field effect transistor and/or part of a logic device and/or high voltage devices, for example by providing the substrate with a suitable doping profile.
In the memory area 1 a floating gate structure may be formed on top of the part that will form the channel. For example, an oxide layer may be formed, such as a tunnel oxide layer 113, above the active region 112 in the memory area 1. On top of the (tunnel) oxide layer 113 gate material may be deposited, such as a second polysilicon layer 1 14.
As shown in FIG. 1A, for instance, a first polysilicon layer 110 or other suitable gate material layer may have been deposited. During the processing the first polysilicon layer 110 is formed into a gate structure 31 e.g. of the logic devices 30, on top of the active regions in the area 1 of the nonvolatile memory 10. The first polysilicon layer 1 10 may for instance be a non patterned layer of uniform thickness that has been deposited in the memory area 1 , the high voltage area 2 and the logic area 3. The first polysilicon layer 110 may for example have a thickness of about 0.03 to 0.15 μm. The deposition of the first polysilicon layer 110 may have been preceded by the formation of a gate oxide layer 100 in areas 1-3. The thickness of the grown gate oxide layer 100 may for example differ over the surface of the substrate 4 and for example, be a thin gate oxide of 65 A
(Angstrom) in the memory area 1 and the high voltage area 2 and have a different thickness in the logic area 3 for different types of logic devices, e.g 25 A and 65 A respectively.
As shown in FIG. 1A, the first polysilicon layer 110 may be removed in the memory area 1 and be preserved in the other areas 2,3. The first polysilicon layer 110 may be etched, for example by providing a protective layer 115 on top of a first polysilicon layer 110 in the areas where the first polysilicon layer 110 is to be preserved, such as the logic area 3 and/or the high-voltage area 2 and exposing the first polysilicon layer 110 to an etching medium in area(s) where the first polysilicon layer 110 is to be removed, such as above an active region 112. The gate oxide layer 100 may in the exposed areas, e.g in the memory area 1 , act as an etch stop layer which protects the active region 112 against etching..
The protective layer 1 15 may for example be a photo-resist layer which is deposited, for example by spinning or other suitable technique, on the substrate 4 and first poly silicon layer 1 10 and patterned using photolithography or other techniques such that the resist is removed above the parts that are to be removed. Following the removal of the first polysilicon layer 110, the protective layer 115 may then be removed in a stripping process using a suitable stripping medium, for example by resolving the layer in a suitable solvent or dry resist stripping medium. As shown in FIG. 1 B, a top surface of the substrate 4 and/or the first polysilicon layer 110 may be oxidized to form the tunnel oxide layer 113 which will act as the gate oxide for the transistors in the memory area 1 . The oxide layer may for example have a thickness of less than 10 nm, such as 8.5 nm for example. For instance, in the memory area 1 , the top surface of substrate 4 may be oxidised after removal of the first polysilicon layer 110. In the logic area 3 and/or the high-voltage area 2, the top surface of the first polysilicon layer 110 may also be oxidised at the same time to form a oxide layer in those areas 2,3. As shown in FIG. 1 B, the second polysilicon layer 114 may be deposited after formation of the oxide layer 1 13. The second polysilicon layer 114 may for instance be a non patterned layer of uniform thickness, for example of about 0.03 to 0.15 μm, that is been deposited in the memory area 1 , the high voltage area 2 and the logic area 3. The second polysilicon layer 114, for instance may be deposited on top of the oxide layer 113. Thus, in the memory area 1 a stack of the substrate 4, oxide layer 1 13 and the second polysilicon layer 114 is formed. In the regions where the first polysilicon layer 110 remains, e.g. in the logic area 3 and high-voltage area 2, a stack of the substrate 4, the gate oxide layer 100, the first polysilicon layer 1 10, the oxide layer 113 and the second polysilicon layer 114 is formed. The second polysilicon layer 1 14 may be patterned to separate for example the floating gates of different non-volatile memory devices from each other. As shown in FIG. 1 B, a patterned resist layer 116 (or other protective layer) may be provided on top of the second polysilicon layer 114, to preserve the second polysilicon layer 114 where desired, such as at the location of the floating gate structures in the memory area 1 and the second polysilicon layer 114 may be - A - removed at the locations not covered by the resist layer 116. Prior to the photo resist layer 116 an Anti reflective coating (ARC) layer may be deposited.
For example, the second polysilicon layer 114 may be etched in an area of a shallow-trench isolation (STI) 117 until the oxide of the STI 117 is reached. Where the second polysilicon layer 1 14 is not covered by the patterned resist layer 116, such as in the high-voltage area 2 or the logic area 3, the second polysilicon layer 1 14 may be exposed to a suitable etching medium. In those areas, the second polysilicon layer 114 may for instance be etched until the top surface of the oxide layer 113 is exposed. In these areas 2,3, the oxide layer 113 acts as an etch stop layer in order to protect the fist polysilicon layer 110 from etching. The layer 116 may then be removed using a suitable stripping medium, for example by resolving the layer in a suitable solvent or dry resist stripping medium.
As shown in FIG. 1C, an isolating layer may be formed on top of the floating gate electric layer (which in this example is formed by the second polysilicon layer 114), which will separate the floating gate from the control gate in the memory area 1. For example, after removal of the patterned resist layer 116, a stack of Siliconoxide/Siliconnitride/Siliconoxide layers (e.g. SiO2/Si3N4/SiO2), commonly referred to as an Oxide/Nitride/Oxide or ONO layer 118, may be provided where desired, such as in the memory area 1. The ONO layer 118 may for example, be of about 16 nm thickness with e.g. a ratio of thickness of the Oxide/Nitride/Oxide layers being 6:5:5. The ONO layer 118 may for example be deposited in all the areas 1-3 and be etched away where desired such as in the high-voltage area 2. For example, a resist layer 119 or other protective layer may be provided, to preserve the ONO layer 1 18 where desired and the parts not covered by the resist layer 119 may be exposed to an etching medium. In the shown example, for instance the resist layer 119 is used in at a step that removes the ONO layer 118 and the first poly silicon layer 110 in the High voltage area 2 in order to grow a High voltage oxide. As illustrated in FIG. 1 D, in the high voltage area 2 a high voltage oxide layer 120, e.g. of 25 nm thickness, may be provided. The high voltage oxide layer 120 which may for instance be formed into a high voltage gate dielectric which separates the channel of high-voltage FETs from the gate thereof. The high voltage oxide layer 120 may for example be provided after removal in the high-voltage area 2 of the ONO layer 1 18, the oxide layer 113 and the first polysilicon layer 1 10, for example by etching. The oxide layer 100 will act as an etch stop layer. The resist layer 119 may then be removed, for example using a suitable stripping medium.
After forming the floating gate structure, a control gate structure may be provided in a stacked configuration with the floating gate structure. For example, in the parts of the memory area 1 where the control gate structure is to be provided a gate material layer may be provided. For instance, as shown in FIG. 1 E, a third polysilicon layer 121 or other suitable gate material layer may be deposited. The third polysilicon layer 121 may for instance have a thickness of 0.03 to 0.15 μm. As shown in FIG. 1 E, the third polysilicon layer 121 may be deposited in other areas as well, for example in the high voltage area 2 and the logic area 3.
The polysilicon layer 121 may be patterned in the memory area 1. For example, on top of the third polysilicon layer 121 , an amorphous carbon layer 122 and a DARC (Dielectric Anti Reflective Coating) or Antireflective capping layer, such as a Tetra-ethyl-ortho-silicate (TEOS) hard mask layer 123 may be deposited. The amorphous carbon layer 122 may for instance have a thickness of 300 nm. The carbon layer 122 may for instance be deposited by chemical vapor deposition (CVD) of a gas mixture comprising a carbon source. The TEOS layer 123 may for instance have a thickness of 20 nm. The TEOS layer 123 may be covered with a layer of resist 124. The layer of resist 123 may be patterned in desired areas, such as in the memory area 1 in order to expose the TEOS layer 122, the amorphous carbon layer 122, the third polysilicon layer 121 , the ONO layer 118 and the second polysilicon layer 114 at those locations to an etching medium. Thereby, for instance, separations between different control gates can be created. As shown in FIG. 1 F, the amorphous carbon layer 122 and the TEOS layer 123 may thereafter be removed, for instance using a suitable stripping fluid or using a dry stripping process.
As shown in FIG. 1 G, thereafter the third polysilicon layer 121 may be removed in desired areas. For example, in the logic area 3, the third polysilicon layer 121 may be removed entirely, whereas in the high voltage area 2 or the memory area 1 the third polysilicon layer 121 may be removed locally, in order to pattern a structure. For instance, in the high voltage area 2 a high voltage gate structure may be patterned in the third polysilicon layer 121 , and in the memory area 1 passages through the polysilicon layer 121 (in order to provide contacts to the second polysilicon layer 1 14 which forms the floating gate material in this example) may be patterned in the third polysilicon layer 121 (which forms the control gate material in this example). A resist layer 125 may for example be provided on the third polysilicon layer 121 and be patterned, such that the third polysilicon layer 121 is exposed in the areas where the third polysilicon layer 121 is to be removed. As shown in FIG. 1 H, for instance in the parts of the memory area 1 where the polysilicon layer 121 is exposed, the polysilicon layer 121 may be etched until the bottom oxide 126 of the ONO layer 118 is exposed. In the high voltage area 2, for instance, the third polysilicon layer 121 may be etched in the parts where it is exposed until the high voltage oxide layer 120 is exposed, to form high voltage gate structures. In the logic area 3, the polysilicon layer 121 may be etched until the bottom oxide 126 of the ONO layer 118 is exposed.
As shown in FIG. 11, a resist layer 128 or other protective layer may be provided and patterned in the logic area 3 in order to create gate structures for the logic devices. As shown in FIG. 11, the resist layer 128 may for example be deposited on top of an anti reflective coating (ARC) layer 127A and/or a hard mask layer 127B. The exposed parts, that is those not covered by the resist layer 128, may for example be etched. For instance, in the example of FIG. 11, the exposed parts of the logic area 3 are etched until the gate oxide layer in order to separate different gate structures from each other in the logic area 3. Thereafter, the resist layer 128, the anti reflective coating (ARC) layer 127A and/or the hard mask layer 127B may be removed, resulting in the gate structures of the logic devices, as shown in FIG. U.
Referring to FIGs. 2-4, the logic gate structure may for instance be formed by depositing one or more gate material layers and patterning the gate material layers into the logic gate structure 31. The gate material may, as explained with reference to FIGs. 1A-J, for example include a polysilicon layer 110. However, the gate may alternatively be of another type of gate material and/or include two or more material layers.
As shown in FIG. 2A, when the gate material layers have been deposited and the control gate structure 15 is formed, for instance before the resist layer 128 is provided and patterned in the phase illustrated in FIG. 11, a filling material layer 130 may be deposited over the memory area 1 and the logic area 3 (and if present, over the high voltage area 2). As illustrated in FIG. 2B, the filling material layer 130 may then be partially removed, by reducing the thickness of the filling material layer 130, at least until a top surface 34 of the one or more gate material layers is exposed. Thereby, the non-uniformity of the topography between the memory area 1 and the logic area 3 may be reduced. Accordingly, the risk of damage to the memory devices, for example due to pitting in the peripheral areas P thereof, may be reduced. Furthermore, the filling material can be deposited (and removed) without requiring a complete overhaul of the flow of processing steps.
The filling material layer 130 may be partially removed using a suitable process which selectively removes the desired part of the filling material layer 130 while leaving the stack and the gate material layers intact.
As shown, the filling material has filled the empty spaces in logic area 3 and the high voltage areas 2. Thus, after the partial removal, the difference in height between those spaces and the top of the stack is reduced. In the example of FIG. 2, the thickness of the filling material is reduced more or less uniformly over the substrate, until the top surface 34 is just exposed. Thus, after the partial removal, the high voltage area 2 and the logic area 3 have a very low topology and are more or less flat. Also, the trenches between the stacks in the memory area 1 are filled by the filling material 130 and after the partial removal of the filling layer 130, the difference in height between the trenches and the top of the stacks is reduced as well.
In the example of FIGs. 2A-2E, the filling material layer 130 is deposited on the hard mask layer 127. The hard mask layer 127 may have been deposited over the gate material layer, e.g. the first polysilicon layer 110 in the logic area 3 or the third polysilicon layer 121 in the high voltage area 2, and on the control gate structure 15 in the memory area 1. As shown, the filling material layer 130 may thus cover the stack of the floating gate structure 14 and the control gate structure 15 and cover the gate material layers in the logic area 3. As shown, the filling material layer 130 may thus be removed above the stack of the floating gate structure 14 and the control gate structure 15 as well as above the gate material layers, while in the memory area 1 , a part of the filling material layer 130 remains in the trenches between the stacks and, in the high voltage area 2 and the logic area 3, the spaces adjacent to the gate material layers remain (partially) filled with the filling material layer 130. As shown in FIG. 2B, the remaining thickness of the filling layer in the trenches between stack(s) may exceed the thickness in the spaces next to the gate material layers and for example be smaller than the height of the stacks but larger than the thickness of the gate material layers.
After deposition of the filling material layer 130, the filling material may be subjected to further processing. For example, in order to increase the resistance to post processing, a cure may be performed in order to harden the filling material, for example, to increase the resistance to post processing temperatures.
As shown in the example of FIG. 3A, the top surface 34 may, for example, be the gate material layer (e.g. in this example the first polysilicon layer) 110 itself or, as shown in FIGs. 2 and 4, a layer 127,133 covering the gate material layer 31. The layer covering the gate material layer 110, may for example be a hard mask layer 127, for example a TEOS hard mask or an (inorganic) anti-reflective coating (for example a DARC, Dielectric Anti Reflective Coating) layer 133, as is for instance shown in FIG. 4. The layer covering the gate material layer 110 may for example have been provided before the filling material layer 130 is applied. After reduction of the filling material layer 130, a logic gate structure 31 may be formed from the gate material layer 110. As shown in FIG. 2C for instance, a photo-resist layer 132 may be deposited on the one or more gate material layers such that the top surface 34 is covered. For example, the photo-resist layer 132 may be applied over the whole wafer area. The photo-resist layer 132 may for example cover the top surface of the memory area 1 , the high voltage area 2 and the logic area 3. The photo-resist layer 132 may be patterned in the logic area 3 such that parts of the top surface 34 are exposed, as shown in FIG. 2C .
As shown in FIG. 2C, before depositing the photo-resist layer 132, an anti-reflective coating layer (ARC) 131 may have been deposited. For example, an ARC layer such as a bottom anti- reflective coating (Bare) or a dielectric ARC (DARC) layer may be deposited, for example when no ARC has been deposited before the filling material. The ARC layer 131 may for instance be deposited on the top surface of a remaining part of the filling material layer 130 and on the exposed top surface 34. The ARC layer 131 may be deposited on the top surfaces in the logic area 3 and other parts such as in the memory area 1 and the high voltage area 2.
The photo-resist layer 132 may be patterned such that parts of the top surface 34 in the logic area 3 are exposed and the gate material layer may at least partially be removed in the areas where the top surface is exposed. As for instance shown in FIG. 2D, first layer forming the top surface, in this example the ARC layer 131 , and other layers 127 between the top surface 34 and the gate material layer 31 may be removed. For example, the BARC layer 131 and the hard mask layer 127 may be removed at the locations where the top surface 34 is exposed As shown in FIG. 2E, thereafter the filling material layer 130, the ARC layer 131 and the photo-resist layer 132 may be removed. For example, filling material layer 130, the ARC layer 131 and the photo-resist layer 132 may be exposed to suitable stripping media such as a dry resist stripping medium or a suitable solvent liquid.
As illustrated in FIG. 2E, this may result in the gate material being covered by a hard mask layer 127 in the areas that were covered by the photo-resist layer 132. Parts of the gate material in the logic area 3 not covered by the a mask layer 127 may then be exposed to an etching medium, resulting in the gate material being removed in those exposed parts 137 and separate gate structures may thereby be obtained, as has been explained with reference to FIG. U. The mask layer 127 may then be removed. For example by exposing the mask layer to suitable stripping media such as a dry resist stripping medium or a suitable solvent liquid. The filling material layer may be any suitable filling material. The filling material may for example be made of a photo-resist or a dielectric resin, or any kind of spin on dielectric or polymers. For example, photo-resist sensitive to light in the 1-line from a mercury-vapour lamp has been found to be a suitable type of photo-resist. As shown in FIG. 3A, for instance, a dielectric resin layer 130' may be used as a filling material. A suitable dielectric resin has been found to be the dielectric resin traded under the name SiLK by The Dow Chemical Company. As shown in FIG. 3B, after reducing the thickness of the dielectric resin layer 130', a layer 134 of for instance amorphous carbon or other hard mask may be deposited. On top of the amorphous carbon layer 134 a dielectric antireflective coating (DARC) is applied. Referring to FIG. 3B, a photo resist layer 136 may be deposited on top of the DARC layer 135. The photo resist layer 136 may be patterned where desired, for instance in the logic area 3 and subsequently in the parts not covered by the photo resist 136, one or more layers may be etched away. For example, the DARC layer 135 and/or the amorphous carbon layer 134 and/or the gate material layer may be removed. The remaining photo resist 136, DARC 135, amorphous carbon 134 and filling material 130' may then be removed using suitable processing, such as dry resist stripping or using a suitable solvent.
In the foregoing specification, the invention has been described with reference to specific examples of embodiments of the invention. It will, however, be evident that various modifications and changes may be made therein without departing from the broader spirit and scope of the invention as set forth in the appended claims. For example, the semiconductor substrate described herein can be any semiconductor material or combinations of materials, such as gallium arsenide, silicon germanium, silicon-on-insulator (SOI), silicon, monocrystalline silicon, the like, and combinations of the above. Also, instead of patterned photo-resists other protective layers of materials that can be patterned may be used and which protect layers covered by the respective material against, e.g. an etching medium. Furthermore, the protective layers may be patterned using any suitable patterning technique, such as photo-lithography, electron beam lithography or other suitable patterning techniques.
However, other modifications, variations and alternatives are also possible. The specifications and drawings are, accordingly, to be regarded in an illustrative rather than in a restrictive sense.
In the claims, any reference signs placed between parentheses shall not be construed as limiting the claim. The word 'comprising' does not exclude the presence of other elements or steps then those listed in a claim. Furthermore, the words 'a' and 'an' shall not be construed as limited to 'only one', but instead are used to mean 'at least one', and do not exclude a plurality. Also, the use of introductory phrases such as "at least one" and "one or more" in the claims should not be construed to imply that the introduction of another claim element by the indefinite articles "a" or "an" limits any particular claim containing such introduced claim element to inventions containing only one such element, even when the same claim includes the introductory phrases "one or more" or "at least one" and indefinite articles such as "a" or "an." The same holds true for the use of definite articles. Unless stated otherwise, terms such as "first" and "second" are used to arbitrarily distinguish between the elements such terms describe. Thus, these terms are not necessarily intended to indicate temporal or other prioritization of such elements. The mere fact that certain measures are recited in mutually different claims does not indicate that a combination of these measures cannot be used to advantage.

Claims

Claims
1. A method of manufacturing a semiconductor device on a substrate (4), including: forming a non-volatile memory (10) in a memory area (1 ) of said substrate (4), said forming non- volatile memory on a substrate (4) including: formation in said memory area (1 ) of a floating gate structure (14) and of a control gate structure (15) which is in a stacked configuration with said floating gate structure; said method further including: formation, in a logic area (3) of said substrate (4), of at least one gate material layer (110); depositing, after said formation of said control gate structure and said gate material layer, over said logic area (3) and said memory area (1 ) a filling material layer (130,130'); partially removing said filling material layer (130,130') by reducing in said logic area (3) and said memory area (1 ) the thickness of said filling material layer (130,130'), at least until a top surface (34) of said at least one gate material layer is exposed; and formation of logic devices in said logic area (3), said formation including forming a logic gate structure (30,31 ) from said gate material layer.
2. A method as claimed in claim 1 , wherein said forming a logic gate structure (30,31 ) from said gate material layer (110) includes: depositing a photo-resist layer (128,132,136) in said logic area (3) and said memory area (1 ); patterning said photo-resist layer in said logic area (3) such that parts of said top surface (34) are exposed; and at least partially removing said gate material layer where said top surface is exposed.
3. A method as claimed in claim 2, wherein said forming a logic gate from said gate material layer includes, before depositing said photo-resist layer (132,136), depositing an anti-reflective coating layer (131 ,133) on a remaining part of said filling material layer (130,130') and on said exposed top surface (34).
4. A method as claimed in claim 2 or 3, including, where said top surface (34) is exposed, removing one or more layers (127,131 ) between said gate material layer (110) and said top surface (34).
5. A method as claimed in any one of the preceding claims, including: depositing a hard mask (127) on said control gate structure and said at least one layer of a gate material (110) before depositing said filling material layer (130,130').
6. A method as claimed in any one of the preceding claims, wherein said forming a logic gate structure (30,31 ) from said gate material layer (110) includes patterning said gate material layer, said method including removing said filling material layer (130,130') using a suitable fluid after patterning said gate material layer.
7. A method as claimed in claim 6, wherein said filling material layer (130,130') is made of one or more materials in the group consisting of: photo-resist, dielectric resin, spin on dielectric, organic polymer.
8. A method as claimed in any one of the preceding claims, wherein said forming a logic gate (30,31 ) from said gate material layer (1 10) includes one or more of: depositing an amorphous carbon layer (134) on a remaining part of said filling material layer (130,130') and on said exposed top surface; depositing a dielectric anti-reflective coating layer (135); depositing a photo resist layer (136).
9. A method as claimed in any one of the preceding claims, including forming high-voltage devices (20) on said substrate (4).
10. A semiconductor device obtainable with a method as claimed in any one of the preceding claims.
PCT/IB2007/054859 2007-08-01 2007-08-01 Method of manufacturing a semiconductor device and semiconductor device obtainable therewith WO2009016437A1 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
PCT/IB2007/054859 WO2009016437A1 (en) 2007-08-01 2007-08-01 Method of manufacturing a semiconductor device and semiconductor device obtainable therewith
US12/670,502 US8043951B2 (en) 2007-08-01 2007-08-01 Method of manufacturing a semiconductor device and semiconductor device obtainable therewith
KR1020107002371A KR101374579B1 (en) 2007-08-01 2007-08-01 Method of manufacturing a semiconductor device and semiconductor device obtainable therewith
JP2010518760A JP2010535410A (en) 2007-08-01 2007-08-01 Semiconductor device manufacturing method and semiconductor device obtained thereby

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/IB2007/054859 WO2009016437A1 (en) 2007-08-01 2007-08-01 Method of manufacturing a semiconductor device and semiconductor device obtainable therewith

Publications (1)

Publication Number Publication Date
WO2009016437A1 true WO2009016437A1 (en) 2009-02-05

Family

ID=39204818

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/IB2007/054859 WO2009016437A1 (en) 2007-08-01 2007-08-01 Method of manufacturing a semiconductor device and semiconductor device obtainable therewith

Country Status (4)

Country Link
US (1) US8043951B2 (en)
JP (1) JP2010535410A (en)
KR (1) KR101374579B1 (en)
WO (1) WO2009016437A1 (en)

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8399310B2 (en) 2010-10-29 2013-03-19 Freescale Semiconductor, Inc. Non-volatile memory and logic circuit process integration
US9305931B2 (en) * 2011-05-10 2016-04-05 Jonker, Llc Zero cost NVM cell using high voltage devices in analog process
US8906764B2 (en) 2012-01-04 2014-12-09 Freescale Semiconductor, Inc. Non-volatile memory (NVM) and logic integration
US8951863B2 (en) 2012-04-06 2015-02-10 Freescale Semiconductor, Inc. Non-volatile memory (NVM) and logic integration
US9087913B2 (en) 2012-04-09 2015-07-21 Freescale Semiconductor, Inc. Integration technique using thermal oxide select gate dielectric for select gate and apartial replacement gate for logic
US9111865B2 (en) 2012-10-26 2015-08-18 Freescale Semiconductor, Inc. Method of making a logic transistor and a non-volatile memory (NVM) cell
US9006093B2 (en) 2013-06-27 2015-04-14 Freescale Semiconductor, Inc. Non-volatile memory (NVM) and high voltage transistor integration
US8877585B1 (en) * 2013-08-16 2014-11-04 Freescale Semiconductor, Inc. Non-volatile memory (NVM) cell, high voltage transistor, and high-K and metal gate transistor integration
US9129996B2 (en) 2013-07-31 2015-09-08 Freescale Semiconductor, Inc. Non-volatile memory (NVM) cell and high-K and metal gate transistor integration
US8871598B1 (en) 2013-07-31 2014-10-28 Freescale Semiconductor, Inc. Non-volatile memory (NVM) and high-k and metal gate integration using gate-first methodology
US9082837B2 (en) 2013-08-08 2015-07-14 Freescale Semiconductor, Inc. Nonvolatile memory bitcell with inlaid high k metal select gate
US9082650B2 (en) 2013-08-21 2015-07-14 Freescale Semiconductor, Inc. Integrated split gate non-volatile memory cell and logic structure
US9252246B2 (en) 2013-08-21 2016-02-02 Freescale Semiconductor, Inc. Integrated split gate non-volatile memory cell and logic device
US8932925B1 (en) 2013-08-22 2015-01-13 Freescale Semiconductor, Inc. Split-gate non-volatile memory (NVM) cell and device structure integration
US9275864B2 (en) 2013-08-22 2016-03-01 Freescale Semiconductor,Inc. Method to form a polysilicon nanocrystal thin film storage bitcell within a high k metal gate platform technology using a gate last process to form transistor gates
US9136129B2 (en) 2013-09-30 2015-09-15 Freescale Semiconductor, Inc. Non-volatile memory (NVM) and high-k and metal gate integration using gate-last methodology
US9129855B2 (en) 2013-09-30 2015-09-08 Freescale Semiconductor, Inc. Non-volatile memory (NVM) and high-k and metal gate integration using gate-first methodology
US8901632B1 (en) 2013-09-30 2014-12-02 Freescale Semiconductor, Inc. Non-volatile memory (NVM) and high-K and metal gate integration using gate-last methodology
US9231077B2 (en) 2014-03-03 2016-01-05 Freescale Semiconductor, Inc. Method of making a logic transistor and non-volatile memory (NVM) cell
US9112056B1 (en) 2014-03-28 2015-08-18 Freescale Semiconductor, Inc. Method for forming a split-gate device
US9472418B2 (en) 2014-03-28 2016-10-18 Freescale Semiconductor, Inc. Method for forming a split-gate device
US9257445B2 (en) 2014-05-30 2016-02-09 Freescale Semiconductor, Inc. Method of making a split gate non-volatile memory (NVM) cell and a logic transistor
US9343314B2 (en) 2014-05-30 2016-05-17 Freescale Semiconductor, Inc. Split gate nanocrystal memory integration
US9379222B2 (en) 2014-05-30 2016-06-28 Freescale Semiconductor, Inc. Method of making a split gate non-volatile memory (NVM) cell
CN107425003B (en) 2016-05-18 2020-07-14 硅存储技术公司 Method of manufacturing split gate non-volatile flash memory cell
US11527543B2 (en) * 2020-06-30 2022-12-13 Taiwan Semiconductor Manufacturing Co., Ltd. Polysilicon removal in word line contact region of memory devices

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5514889A (en) * 1992-08-18 1996-05-07 Samsung Electronics Co., Ltd. Non-volatile semiconductor memory device and method for manufacturing the same
US5643813A (en) * 1994-10-06 1997-07-01 International Business Machines Corporation Packing density for flash memories by using a pad oxide
US5899713A (en) * 1997-10-28 1999-05-04 International Business Machines Corporation Method of making NVRAM cell with planar control gate
WO2000038237A1 (en) * 1998-12-18 2000-06-29 Koninklijke Philips Electronics N.V. A method of manufacturing a semiconductor device
WO2001047012A1 (en) * 1999-12-21 2001-06-28 Koninklijke Philips Electronics N.V. Non-volatile memory cells and periphery
US20050185446A1 (en) * 2004-02-24 2005-08-25 Luca Pividori Method for reducing non-uniformity or topography variation between an array and circuitry in a process for manufacturing semiconductor integrated non-volatile memory devices
US20050189606A1 (en) * 2004-02-27 2005-09-01 Fujitsu Limited Semiconductor device and method for fabricating the same

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5723355A (en) * 1997-01-17 1998-03-03 Programmable Microelectronics Corp. Method to incorporate non-volatile memory and logic components into a single sub-0.3 micron fabrication process for embedded non-volatile memory
EP1703520B1 (en) * 1999-02-01 2011-07-27 Renesas Electronics Corporation Semiconductor integrated circuit and nonvolatile memory element
KR20080094249A (en) * 2007-04-19 2008-10-23 삼성전자주식회사 Method of fabricating non-volatile memory device

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5514889A (en) * 1992-08-18 1996-05-07 Samsung Electronics Co., Ltd. Non-volatile semiconductor memory device and method for manufacturing the same
US5643813A (en) * 1994-10-06 1997-07-01 International Business Machines Corporation Packing density for flash memories by using a pad oxide
US5899713A (en) * 1997-10-28 1999-05-04 International Business Machines Corporation Method of making NVRAM cell with planar control gate
WO2000038237A1 (en) * 1998-12-18 2000-06-29 Koninklijke Philips Electronics N.V. A method of manufacturing a semiconductor device
WO2001047012A1 (en) * 1999-12-21 2001-06-28 Koninklijke Philips Electronics N.V. Non-volatile memory cells and periphery
US20050185446A1 (en) * 2004-02-24 2005-08-25 Luca Pividori Method for reducing non-uniformity or topography variation between an array and circuitry in a process for manufacturing semiconductor integrated non-volatile memory devices
US20050189606A1 (en) * 2004-02-27 2005-09-01 Fujitsu Limited Semiconductor device and method for fabricating the same

Also Published As

Publication number Publication date
US8043951B2 (en) 2011-10-25
US20100227467A1 (en) 2010-09-09
KR101374579B1 (en) 2014-03-17
KR20100049573A (en) 2010-05-12
JP2010535410A (en) 2010-11-18

Similar Documents

Publication Publication Date Title
US8043951B2 (en) Method of manufacturing a semiconductor device and semiconductor device obtainable therewith
US9837273B2 (en) Methods of forming patterns of a semiconductor devices
US8802510B2 (en) Methods for controlling line dimensions in spacer alignment double patterning semiconductor processing
US6579757B2 (en) Method for fabricating semiconductor device which prevents gates of a peripheral region from being oxidized
US8450829B2 (en) Efficient pitch multiplication process
JP3529732B2 (en) Method for forming a MOSFET device
US7816228B2 (en) Method of manufacturing a semiconductor device
US20100216307A1 (en) Simplified pitch doubling process flow
US20090035665A1 (en) Process of semiconductor fabrication with mask overlay on pitch multiplied features and associated structures
JP2011502353A (en) Method for integrating NVM circuit with logic circuit
US9711393B2 (en) Silicon on nothing devices and methods of formation thereof
US20100221886A1 (en) Methods of Forming Charge-Trap Type Non-Volatile Memory Devices
US10242910B2 (en) Contact structure and associated method for flash memory
US9966477B2 (en) Charge trapping split gate device and method of fabricating same
US7307002B2 (en) Non-critical complementary masking method for poly-1 definition in flash memory device fabrication
KR100924611B1 (en) Method of forming a micro pattern in a semiconductor device
US7700445B2 (en) Method for fabricating multiple FETs of different types
WO2007015987A2 (en) System and method for improving mesa width in a semiconductor device
US6953973B2 (en) Self-aligned trench isolation method and semiconductor device fabricated using the same
US7186614B2 (en) Method for manufacturing high density flash memory and high performance logic on a single die
TWI752825B (en) Method of manufacturing semiconductor device
CN101465325B (en) Method for forming semiconductor structure
US7871895B2 (en) Method and structure for relieving transistor performance degradation due to shallow trench isolation induced stress
US6798002B1 (en) Dual-purpose anti-reflective coating and spacer for flash memory and other dual gate technologies and method of forming
US6610604B1 (en) Method of forming small transistor gates by using self-aligned reverse spacer as a hard mask

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 07849294

Country of ref document: EP

Kind code of ref document: A1

WWE Wipo information: entry into national phase

Ref document number: 2010518760

Country of ref document: JP

WWE Wipo information: entry into national phase

Ref document number: 12670502

Country of ref document: US

ENP Entry into the national phase

Ref document number: 20107002371

Country of ref document: KR

Kind code of ref document: A

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 07849294

Country of ref document: EP

Kind code of ref document: A1