WO2009023445A1 - Method for etching low-k material using oxide hard mask - Google Patents

Method for etching low-k material using oxide hard mask Download PDF

Info

Publication number
WO2009023445A1
WO2009023445A1 PCT/US2008/071829 US2008071829W WO2009023445A1 WO 2009023445 A1 WO2009023445 A1 WO 2009023445A1 US 2008071829 W US2008071829 W US 2008071829W WO 2009023445 A1 WO2009023445 A1 WO 2009023445A1
Authority
WO
WIPO (PCT)
Prior art keywords
layer
substrate
plasma
silicon oxide
sicoh
Prior art date
Application number
PCT/US2008/071829
Other languages
French (fr)
Inventor
Yannick Feurprier
Original Assignee
Tokyo Electron Limited
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Limited filed Critical Tokyo Electron Limited
Publication of WO2009023445A1 publication Critical patent/WO2009023445A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch

Definitions

  • the present invention relates to a method for etching a low dielectric constant (low-k) dielectric film on a substrate, and more particularly to a method for etching a SiCOH-containing low-k film.
  • low-k low dielectric constant
  • pattern etching can comprise the application of a thin layer of radiation-sensitive material, such as photoresist, to an upper surface of a substrate that is subsequently patterned in order to provide a mask for transferring this pattern to the underlying thin film on a substrate during etching.
  • the patterning of the radiation-sensitive material generally involves a lithographic process, wherein the radiation-sensitive material is exposed to a geometric pattern of electromagnetic (EM) radiation using, for example, a micro-lithography system, followed by the removal of the irradiated regions of the radiation- sensitive material (as in the case of positive resist), or non-irradiated regions (as in the case of negative resist) using a developing solvent.
  • EM electromagnetic
  • this lithographic mask layer may comprise multiple sub-layers.
  • the mask layer may further include an anti- reflective coating (ARC) underlying the layer of radiation-sensitive material.
  • ARC anti- reflective coating
  • the mask layer includes additional layers, such as an ARC layer, then the lithographic pattern formed in the layer of radiation- sensitive material may be transferred to the ARC layer using dry development techniques or wet development techniques.
  • FEOL front end of line
  • BEOL back-end-of-line
  • metal intra-/inter- connects such as metal intra-/inter- connects.
  • a lithographic structure is often utilized in the preparation of dual damascene structures for BEOL operations.
  • a hard mask layer (or layers) have been contemplated for insertion between the lithographic mask layer and the underlying dielectric material, wherein once the lithographic pattern is transferred to the hard mask layer (or layers), the lithographic mask layer may be removed, thus, reducing damage to the dielectric material. [0006] Thereafter, the hard mask layer (or layers) may serve as the mask for patterning the dielectric material.
  • metal hard mask layers such as Ti-containing materials, Ta-containing materials, etc.
  • these metal hard masks pose additional problems, including, but not limited to, establishing an etch chemistry for patterning the metal hard mask, as well as removing the metal hard mask.
  • the invention relates to a method for patterning a thin film on a substrate.
  • the invention relates to a method for etching a dielectric film.
  • the dielectric film can include a low dielectric constant (low-k) dielectric film.
  • the dielectric film can include a SiCOH-containing dielectric film.
  • a method of patterning a film stack comprises preparing a film stack on a substrate, wherein the film stack comprises a SiCOH-containing layer formed on the substrate, a silicon oxide (SiO x ) layer formed on the SiCOH- containing layer, and a mask layer formed on the silicon oxide layer. A pattern is created in the mask layer.
  • the pattern in the mask layer is transferred to the silicon oxide layer using an etching process, and then the mask layer is removed.
  • the pattern in the silicon oxide layer is transferred to the SiCOH-containing layer using a dry plasma etching process formed from a process composition comprising NF 3 .
  • the method comprises disposing a substrate having a SiCOH-containing layer and silicon oxide layer in a plasma processing system; introducing a process composition comprising nitrogen trifluoride (NF 3 ); forming plasma from the process composition in the plasma processing system; and exposing the substrate to the plasma in order to etch the SiCOH- containing layer with selectivity to the silicon oxide layer.
  • NF 3 nitrogen trifluoride
  • FIGS. 1 A through 1 D illustrate schematically a method for patterning a thin film on a substrate according to an embodiment
  • FIGs. 2A through 2H illustrate schematically a method for forming a trench-via structure on a substrate according to another embodiment
  • FIG. 3 illustrates a flow chart of a method for patterning a thin film on a substrate according to another embodiment
  • FIG. 4 illustrates a flow chart of a method for forming a trench- via structure on a substrate according to another embodiment
  • FIG. 5 shows a schematic representation of a processing system according to an embodiment
  • FIG. 6 shows a schematic representation of a processing system according to another embodiment
  • FIG. 7 shows a schematic representation of a processing system according to another embodiment
  • FIG. 8 shows a schematic representation of a processing system according to another embodiment.
  • FIG. 9 shows a schematic representation of a processing system according to another embodiment.
  • a method of patterning a film stack comprises preparing a film stack on a substrate, wherein the film stack comprises a SiCOH-containing layer formed on the substrate, a silicon oxide (SiO x ) layer formed on the SiCOH- containing layer, and a mask layer formed on the silicon oxide layer.
  • a pattern is created in the mask layer.
  • the pattern in the mask layer is transferred to the silicon oxide layer using an etching process, and then the mask layer is removed.
  • the pattern in the silicon oxide layer is transferred to the SiCOH-containing layer using a dry plasma etching process formed from a process composition comprising NF 3 .
  • a method of patterning a structure on a substrate is schematically illustrated in FIGs. 1 A through 1 D, and is illustrated in a flow chart 300 in FIG. 3.
  • the structure may include a trench, a via, or a contact.
  • the method begins in 310 with forming a film stack 1 on a substrate 2.
  • the film stack 1 comprises a thin film 3 formed on the substrate 2, a silicon oxide layer 4 formed on the thin film 3, and a mask layer 5 formed on the silicon oxide layer 4.
  • the silicon oxide layer 4 may be silicon dioxide (SiO 2 ), or more generally SiO x .
  • the film stack may include additional layers.
  • the thin film 3 comprises a dielectric layer that may include a material layer, or plurality of material layers, having silicon (Si), carbon (C), oxygen (O), and hydrogen (H).
  • the thin film 3 may comprise a low dielectric constant (i.e., low-k) or ultra-low dielectric constant (i.e., ultra-low-k) dielectric layer having Si, C, O, and H, wherein a nominal dielectric constant value of the thin film 3 is less than the dielectric constant of SiO 2 , which is approximately 4 (e.g., the dielectric constant for thermal silicon oxide can range from 3.8 to 3.9). More specifically, the thin film 3 may have a dielectric constant of less than 3.7, or a dielectric constant ranging from 1.6 to 3.7.
  • the thin film 3 may be non-porous or porous.
  • the thin film 3 can be formed using a vapor deposition technique, such as chemical vapor deposition (CVD), plasma enhanced CVD (PECVD), atomic layer deposition (ALD), plasma enhanced ALD (PEALD), physical vapor deposition (PVD), or ionized PVD (iPVD), or a spin-on technique, such as those offered in the Clean Track ACT 8 SOD (spin-on dielectric), ACT 12 SOD, and Lithius coating systems commercially available from Tokyo Electron Limited (TEL).
  • the Clean Track ACT 8 (200 mm), ACT 12 (300 mm), and Lithius (300 mm) coating systems provide coat, bake, and cure tools for SOD materials.
  • the track system can be configured for processing substrate sizes of 100 mm, 200 mm, 300 mm, and greater. Other systems and methods for forming a thin film on a substrate are well known to those skilled in the art of both spin-on technology and vapor deposition technology.
  • the silicon oxide (SiO x ) layer can be formed using a vapor deposition technique, or a spin-on technique, or any other technique known to those skilled in the art of silicon oxide film formation.
  • the mask layer 5 can include one or more layers.
  • the mask layer 5 can comprise a layer of radiation-sensitive material, such as light-sensitive material, overlying an anti-reflective coating (ARC) layer.
  • ARC anti-reflective coating
  • the mask layer 5 can comprise a layer of radiation-sensitive material overlying an anti-reflective coating (ARC) layer, which is overlying an optional organic planahzation layer (OPL).
  • ARC anti-reflective coating
  • the mask layer 5 can include a bilayer mask, or multilayer mask, having an anti-reflective coating (ARC), such as a buried ARC (BARC) layer, a sacrificial DUOTM layer, or a tunable etch resistant ARC (TERA) layer, embedded therein.
  • ARC anti-reflective coating
  • BARC buried ARC
  • TERA tunable etch resistant ARC
  • the layer of radiation-sensitive material can comprise photoresist.
  • photoresist may include 248 nm (nanometer) resists, 193 nm resists, 157 nm resists, EUV (extreme ultraviolet) resists, or electron sensitive resists.
  • the photoresist layer may be formed using spin-on techniques.
  • the ARC layer possesses material properties suitable for use as an anti-reflective coating. Additionally, the ARC layer is selected to be compatible with the overlying photoresist layer and the lithographic wavelength, i.e., ArF, KrF, etc.
  • the ARC layer may be formed using vapor deposition techniques or spin-on techniques.
  • the optional OPL may include a photo-sensitive organic polymer or an etch type organic compound.
  • the photosensitive organic polymer may be polyacrylate resin, epoxy resin, phenol resin, polyamide resin, polyimide resin, unsaturated polyester resin, polyphenylenether resin, polyphenylenesulfide resin, or benzocyclobutene (BCB). These materials may be formed using spin-on techniques.
  • One or more of the layers serving as mask layer 5 can be formed using a track system.
  • the track system may comprise a Clean Track ACT 8, ACT 12, or Lithius resist coating and developing system commercially available from Tokyo Electron Limited (TEL).
  • TEL Tokyo Electron Limited
  • Other systems and methods for forming a photo-resist film on a substrate are well known to those skilled in the art of spin-on resist technology.
  • the coating of the photoresist layer may include any or all processes known to those skilled in the art of preparing such films including, but not limited to, performing a cleaning process prior to the coating process, performing a post-application bake (PAB) following the coating process, etc.
  • PAB post-application bake
  • a mask pattern 6 is formed in the mask layer 5.
  • the mask layer 5 may be imaged with an image pattern, and thereafter developed.
  • the image pattern may comprise a via or contact pattern, or a trench pattern.
  • the exposure to EM (electromagnetic) radiation through a reticle is performed in a dry or wet photo-lithography system.
  • the image pattern can be formed using any suitable conventional stepping lithographic system, or scanning lithographic system.
  • the photo-lithographic system may be commercially available from ASML Netherlands B.V. (De Run 6501 , 5504 DR Veldhoven, The Netherlands), or Canon USA, Inc., Semiconductor Equipment Division (3300 North First Street, San Jose, CA 95134).
  • mask layer 5 includes additional layers, such as an ARC layer
  • mask pattern 6 can be transferred to these layers using dry development techniques and/or wet development techniques known to those skilled in the art of bilayer, thlayer, etc., mask development.
  • the mask pattern 6 formed in mask layer 5 is transferred to the silicon oxide layer 4 to form a hard mask pattern 7.
  • the transfer of mask pattern 6 can be accomplished using an etching process, such as a dry etching process or a wet etching process.
  • the etching process may include a dry plasma or dry non- plasma etching process.
  • the plasma etch gas composition may include a fluorocarbon-based chemistry such as at least one of C 4 Fs, CsFs, C3F6, C 4 F 6 , CF 4 , or more generally C x F y (where x and y are integers greater than or equal to unity), or a fluorohydrocarbon-based chemistry such as at least one of CHF 3 , CH 2 F 2 , or more generally C x F y H z (where x, y and z are integers greater than or equal to unity), or a combination of two or more thereof.
  • a fluorocarbon-based chemistry such as at least one of C 4 Fs, CsFs, C3F6, C 4 F 6 , CF 4 , or more generally C x F y (where x and y are integers greater than or equal to unity)
  • a fluorohydrocarbon-based chemistry such as at least one of CHF 3 , CH 2 F 2 , or more generally C x F
  • additive gases may include an inert gas, such as a noble gas, oxygen, or CO, or two or more thereof.
  • an inert gas such as a noble gas, oxygen, or CO, or two or more thereof.
  • any etching process chemistry can be employed that selectively etches the silicon oxide layer 4 relative to the mask layer 5.
  • the mask layer 5 is removed.
  • the mask layer 5 can be removed using a wet or dry stripping/ashing process prior to transferring hard mask pattern 7 in silicon oxide layer 4 to the underlying thin film 3.
  • the removal of the mask layer 5 prior to etching the thin film 3 may be simpler, due to the lack of hardened crusts resultant from etching of the thin film 3.
  • the removal of the mask layer 5 prior to etching of the thin film 3 minimizes the exposure of thin film 3 to a wet clean process when applying strip chemicals during a wet stripping process, or an ashing process when using an oxidizing plasma to remove photoresist and post- etch residue.
  • advanced (porous or non-porous) SiCOH- containing dielectric materials can be damaged when exposed to these etching, stripping and/or ashing processes, and thus, prior removal of the mask layer 5 can minimize damage of the thin film 3.
  • the dry plasma etching process for transferring hard mask pattern 7 from the silicon oxide layer 4 to the underlying thin film 3 comprises introducing a process composition comprising NF 3 and an optional additive gas, and forming plasma from the process composition.
  • the additive gas may serve as a dilution gas and/or a passivation gas.
  • the etching process can provide improvements in the etch selectivity between a SiCOH-containing layer and those materials where etching is not intended such as SiO 2 , for example.
  • the additive gas may comprise an oxygen containing gas, a nitrogen containing gas, a fluorocarbon gas (characterized by C x F y , wherein x and y are integers greater than or equal to unity), a hydrofluorocarbon gas (characterized by C x F y H z , wherein x, y and z are integers greater than or equal to unity), or a hydrocarbon gas (characterized by C x H y , wherein x and y are integers greater than or equal to unity), or a combination of two or more thereof.
  • the oxygen containing gas may comprise O 2 , NO, NO 2 , N 2 O, CO, or CO 2 , or a combination of two or more thereof.
  • the nitrogen containing gas may comprise N 2 , or NH 3 , or both.
  • the fluorocarbon gas may comprise C 4 F 8 , C 5 F 8 , C 3 F 6 , C 4 F 6 , or CF 4 , or two or more thereof.
  • the hydrofluorocarbon gas may comprise CHF 3 , or CH 2 F 2 , or both.
  • the hydrocarbon gas may comprise C 2 H 4 , CH 4 , C 2 H 2 , C 2 H 6 , C 3 H 4 , C 3 H 6 , C 3 H 8 , C 4 H 6 , C 4 H 8 , C 4 H 10 , CsH 8 , C5H 1 0, C 6 H 6 , C 6 HiO, or C 6 Hi 2 , or two or more thereof.
  • the process composition may further include an inert gas, such as a noble gas (e.g., He, Ne, Ar, Kr, Xe).
  • a method of patterning a structure on a substrate is schematically illustrated in FIGs. 2A through 2H, and is illustrated in a flow chart 400 in FIG. 4.
  • the structure comprises a trench-via structure or damascene structure for making contact with a metal line 1 12.
  • the method begins in 410 with forming a film stack 100 on a substrate 1 10.
  • the film stack 100 comprises an optional etch stop layer 1 15 formed on substrate 1 10, a thin film 120 formed on the optional etch stop layer 1 15, a silicon oxide layer 140 formed on the thin film 120, and a first mask layer 150 formed on the silicon oxide layer 140.
  • the silicon oxide layer 140 may be silicon dioxide (SiO 2 ), or more generally SiO x . Additionally, the film stack may include additional layers.
  • the optional etch stop layer 1 15 may include silicon nitride (Si x N y ), silicon carbide (Si x C y ), silicon carbonithde (SiC x N y ), or SiC x N y H z , such as NBLoKTM.
  • the thin film 120 comprises a dielectric layer that may include a material layer, or plurality of material layers, having Si, C, O, and H.
  • the thin film 120 may comprise a low-k or ultra-low-k dielectric layer having Si, C, O, and H.
  • the thin film 120 may be formed using a vapor deposition technique, such as CVD, PECVD, ALD, PEALD, PVD, or iPVD, or a spin- on technique, such as those offered in the Clean Track ACT 8 SOD (spin- on dielectric), ACT 12 SOD, and Lithius coating systems commercially available from Tokyo Electron Limited (TEL).
  • a vapor deposition technique such as CVD, PECVD, ALD, PEALD, PVD, or iPVD
  • a spin- on technique such as those offered in the Clean Track ACT 8 SOD (spin- on dielectric), ACT 12 SOD, and Lithius coating systems commercially available from Tokyo Electron Limited (TEL).
  • the Clean Track ACT 8 (200 mm), ACT 12 (300 mm), and Lithius (300 mm) coating systems provide coat, bake, and cure tools for SOD materials.
  • the track system may be configured for processing substrate sizes of 100 mm, 200 mm, 300 mm, and greater
  • the first mask layer 150 may include one or more layers.
  • the first mask layer 150 may comprise a layer of radiation-sensitive material, such as a light-sensitive material, overlying an ARC layer.
  • the first mask layer 150 may comprise a layer of radiation-sensitive material overlying an ARC layer, which is overlying an optional OPL.
  • the first mask layer 150 may include a bilayer mask, or multilayer mask, having an ARC, such as a BARC layer, a sacrificial DUOTM layer, or a TERA layer, embedded therein.
  • the layer of radiation-sensitive material may comprise photoresist.
  • photoresist may include 248 nm resists, 193 nm resists, 157 nm resists, EUV resists, or electron sensitive resists.
  • the photoresist layer may be formed using spin-on techniques.
  • the ARC layer possesses material properties suitable for use as an anti-reflective coating. Additionally, the ARC layer is selected to be compatible with the overlying photoresist layer and the lithographic wavelength, i.e., ArF, KrF, etc.
  • the ARC layer may be formed using vapor deposition techniques or spin-on techniques.
  • the optional OPL may include a photo-sensitive organic polymer or an etch type organic compound.
  • the photosensitive organic polymer may be polyacrylate resin, epoxy resin, phenol resin, polyamide resin, polyimide resin, unsaturated polyester resin, polyphenylenether resin, polyphenylenesulfide resin, or benzocyclobutene (BCB). These materials may be formed using spin-on techniques.
  • One or more of the layers serving as first mask layer 150 may be formed using a track system.
  • the track system may comprise a Clean Track ACT 8, ACT 12, or Lithius resist coating and developing system commercially available from Tokyo Electron Limited (TEL).
  • TEL Tokyo Electron Limited
  • Other systems and methods for forming a photo-resist film on a substrate are well known to those skilled in the art of spin-on resist technology.
  • the coating of the photoresist layer may include any or all processes known to those skilled in the art of preparing such films including, but not limited to, performing a cleaning process prior to the coating process, performing a post-application bake (PAB) following the coating process, etc.
  • PAB post-application
  • film stack 100 may include one or more additional material layers including a metal-containing layer, such as titanium (Ti), titanium nitride (Ti x N y ), tantalum (Ta), tantalum nitride (Ta x N y ), aluminum (Al), or aluminum-copper alloy (Al-Cu), or a dielectric material, such as silicon carbide (Si x Cy), silicon nitride (Si x Ny), or silicon oxynithde (Si x O y N z ), or amorphous carbon (a-C).
  • a metal-containing layer such as titanium (Ti), titanium nitride (Ti x N y ), tantalum (Ta), tantalum nitride (Ta x N y ), aluminum (Al), or aluminum-copper alloy (Al-Cu)
  • a dielectric material such as silicon carbide (Si x Cy), silicon nitride (Si x Ny), or
  • the silicon oxide layer 140 may optionally include an overlying metal hard mask layer and/or an underlying hard mask layer.
  • a trench image pattern 152 is formed in the first mask layer 150.
  • the first mask layer 150 may be imaged with an image pattern, and thereafter developed.
  • the exposure to EM radiation through a reticle is performed in a dry or wet photo-lithography system.
  • the image pattern may be formed using any suitable conventional stepping lithographic system, or scanning lithographic system.
  • the photo-lithographic system may be commercially available from ASML Netherlands B.V.
  • first mask layer 150 includes additional layers, such as an ARC layer
  • trench image pattern 152 can be transferred to these layers using dry development techniques and/or wet development techniques known to those skilled in the art of bilayer, thlayer, etc., mask development.
  • the trench image pattern 152 formed in first mask layer 150 is transferred to the silicon oxide layer 140 to form trench pattern 154.
  • the formation of trench pattern 154 can be accomplished using an etching process, such as a dry etching process or a wet etching process.
  • the etching process may include a dry plasma etching process or dry non-plasma etching process.
  • the plasma etch gas composition may include a fluorocarbon-based chemistry such as at least one of C 4 F 8 , C 5 F 8 , C 3 F 6 , C 4 F 6 , CF 4 , etc., or a fluorohydrocarbon- based chemistry such as at least one of CHF 3 , CH 2 F 2 , etc., or a combination of two or more thereof.
  • additive gases may include an inert gas, such as a noble gas, oxygen, or CO, or two or more thereof.
  • any etching process chemistry can be employed that selectively etches the silicon oxide layer 140 relative to the first mask layer 150.
  • the first mask layer 150 is removed.
  • the first mask layer 150 can be removed using a wet or dry stripping/ashing process.
  • a second mask layer 160 is formed on film stack 100. Thereafter, in 460, a via (or contact) image pattern 162 is formed and aligned with the trench pattern 154 in the silicon oxide layer 140.
  • the via image pattern 162 is transferred to the thin film 120 using a first etching process to form via pattern 164.
  • the via pattern 164 may be fully completed in the thin film 120, e.g., the via pattern 164 extends through the full thickness of thin film 120.
  • the first etching process for transferring the via pattern can stop on the optional etch stop layer 1 15.
  • a partial via pattern 165 may be partially transferred to the thin film 120, e.g., the partial via pattern 165 extends to only a fraction of the full thickness of thin film 120.
  • the first etching process may comprise a conventional etch process for SiCOH-containing materials as would be understood by one skilled in the art of low-k dielectric etching, or the NF 3 -based process chemistry described above and below.
  • the second mask layer 160 is removed.
  • the second mask layer 160 can be removed using a wet or dry stripping/ashing process prior to transferring trench pattern 154 in silicon oxide layer 140 to the thin film 120.
  • the removal of the second mask layer 160 prior to etching the thin film 120 may be simpler, due to the lack of hardened crusts resultant from etching of the thin film 120.
  • the removal of the second mask layer 160 prior to etching of the thin film 120 minimizes the exposure of thin film 120 to a wet clean process when applying strip chemicals during a wet stripping process, or an ashing process when using an oxidizing plasma to remove photoresist and post- etch residue.
  • advanced (porous or non-porous) SiCOH- containing dielectric materials can be damaged when exposed to these etching, stripping and/or ashing processes, and thus, prior removal of the second mask layer 160 can minimize damage of the thin film 120.
  • the trench pattern 154 formed in silicon oxide layer 140 is transferred to the thin film 120 using a second etching process, such as a dry plasma etching process, to form a trench-via structure 170.
  • a second etching process such as a dry plasma etching process
  • the partial via pattern 165 can be completed in the thin film 120 and the second etching process can stop on the optional etch stop layer 1 15.
  • the second etching process for transferring trench pattern 154 from the silicon oxide layer 140 to the thin film 120 comprises introducing a process composition comprising NF 3 and an optional additive gas, and forming plasma from the process composition.
  • the additive gas may serve as a dilution gas and/or a passivation gas.
  • the second etching process can provide improvements in the etch selectivity between a SiCOH-containing layer and those materials where etching is not intended such as SiO 2 , for example.
  • the additive gas may comprise an oxygen containing gas, a nitrogen containing gas, a fluorocarbon gas (characterized by C x F y , wherein x and y are integers greater than or equal to unity), a hydrofluorocarbon gas (characterized by C x F y H z , wherein x, y and z are integers greater than or equal to unity), or a hydrocarbon gas (characterized by C x H y , wherein x and y are integers greater than or equal to unity), or a combination of two or more thereof.
  • the oxygen containing gas may comprise O 2 , NO, NO 2 , N 2 O, CO, or CO 2 , or a combination of two or more thereof.
  • the nitrogen containing gas may comprise N 2 , or NH 3 , or both.
  • the fluorocarbon gas may comprise C 4 F 8 , C 5 F 8 , C 3 F 6 , C 4 F 6 , or CF 4 , or two or more thereof.
  • the hydrofluorocarbon gas may comprise CHF 3 , or CH 2 F 2 , or both.
  • the hydrocarbon gas may comprise C 2 H 4 , CH 4 , C 2 H 2 , C 2 H 6 , C 3 H 4 , C 3 H 6 , C 3 H 8 , C 4 H 6 , C 4 H 8 , C 4 H 10 , C 5 H 8 , C 5 H 10 , C 6 H 6 , C 6 H 10 , or C 6 H 12 , or two or more thereof.
  • the process composition may further include an inert gas, such as a noble gas (e.g., He, Ne, Ar, Kr, Xe).
  • the via pattern 164 can be transferred to the optional etch stop layer 1 15.
  • One or more barrier layers or seed layers 172 may be conformally deposited, and the trench-via structure 170 can be filled with metal and planahzed to create a damascene (interconnect) structure 174.
  • the silicon oxide layer 140 can act as a stop layer for the planahzation process (such as a chemical- mechanical planahzation (CMP) process).
  • FIG. 5 illustrates a plasma processing system according to an embodiment.
  • Plasma processing system 1 a comprises a plasma processing chamber 10, substrate holder 20, upon which a substrate 25 to be processed is affixed, and vacuum pumping system 30.
  • Substrate 25 can be a semiconductor substrate, a wafer, or a liquid crystal display.
  • Plasma processing chamber 10 can be configured to facilitate the generation of plasma in processing region 15 adjacent a surface of substrate 25.
  • An ionizable gas or mixture of gases is introduced via a gas injection system (not shown) and the process pressure is adjusted.
  • a control mechanism (not shown) can be used to throttle the vacuum pumping system 30.
  • Plasma can be utilized to create materials specific to a pre-determined materials process, and/or to aid the removal of material from the exposed surfaces of substrate 25.
  • the plasma processing system 1 a can be configured to process a substrate of any size, such as 200 mm substrates, 300 mm substrates, or larger.
  • Substrate 25 may be affixed to the substrate holder 20 via an electrostatic clamping system.
  • substrate holder 20 can further include a temperature control system for controlling the temperature of substrate 25 during various etching processes.
  • the substrate holder 20 can comprise a temperature control system having a cooling system or a heating system or both.
  • the cooling system or heating system can include a re-circulating fluid flow that receives heat from substrate holder 20 and transfers heat to a heat exchanger system (not shown) when cooling, or transfers heat from the heat exchanger system to the fluid flow when heating.
  • the cooling system or heating system may comprise heating/cooling elements, such as resistive heating elements, or thermoelectric heaters/coolers located within the substrate holder 20.
  • the substrate temperature may range from approximately 10 degrees C to approximately 250 degrees, and can range from approximately 10 degrees C to approximately 50 degrees C.
  • the substrate holder 20 can facilitate the delivery of heat transfer gas to the back-side of substrate 25 via a backside gas supply system to improve the gas-gap thermal conductance between substrate 25 and substrate holder 20.
  • the backside gas system can comprise a two-zone gas distribution system, wherein the backside gas (e.g., helium) pressure can be independently varied between the center and the edge of substrate 25.
  • heating/cooling elements such as resistive heating elements, or thermo-electric heaters/coolers can be included in the chamber wall of the plasma processing chamber 10 and any other component within the plasma processing system 1 a.
  • substrate holder 20 can comprise an electrode through which RF power is coupled to the processing plasma in processing region 15.
  • substrate holder 20 can be electrically biased at a RF voltage via the transmission of RF power from a RF generator 40 through an optional impedance match network 42 to substrate holder 20.
  • the RF bias can serve to heat electrons to form and maintain plasma, or affect the ion energy distribution function within the sheath, or both.
  • the system can operate as a reactive ion etch (RIE) reactor, wherein the chamber can serve as ground surfaces.
  • RIE reactive ion etch
  • a typical frequency for the RF bias can range from 0.1 MHz to 100 MHz.
  • RF systems for plasma processing are well known to those skilled in the art.
  • impedance match network 42 serves to improve the transfer of RF power to plasma in plasma processing chamber 10 by reducing the reflected power.
  • Match network topologies e.g. L-type, ⁇ - type, T-type, etc.
  • automatic control methods are well known to those skilled in the art.
  • plasma processing system 1 a optionally comprises a direct current (DC) power supply 50 coupled to an upper electrode 52 opposing substrate 25.
  • the upper electrode 52 may comprise an electrode plate.
  • the electrode plate may comprise a silicon- containing electrode plate.
  • the electrode plate may comprise a doped silicon electrode plate.
  • the DC power supply 50 can include a variable DC power supply.
  • the DC power supply 50 can include a bipolar DC power supply.
  • the DC power supply 50 can further include a system configured to perform at least one of monitoring adjusting, or controlling the polarity, current, voltage, or on/off state of the DC power supply 50.
  • the DC power supply 50 facilitates the formation of a ballistic electron beam (collision-less electron beam).
  • An electrical filter may be utilized to de-couple RF power from the DC power supply 50.
  • the DC voltage applied to upper electrode 52 by DC power supply 50 may range from approximately -2000 volts (V) to approximately 1000 V.
  • the absolute value of the DC voltage has a value equal to or greater than approximately 100 V, and more desirably, the absolute value of the DC voltage has a value equal to or greater than approximately 500 V.
  • the DC voltage has a negative polarity.
  • the DC voltage is a negative voltage having an absolute value greater than the self-bias voltage generated on a surface of the upper electrode 52.
  • the surface of the upper electrode 52 facing the substrate holder 20 may be comprised of a silicon-containing material.
  • Vacuum pumping system 30 can include a turbo-molecular vacuum pump (TMP) capable of a pumping speed up to 5000 liters per second (and greater) and a gate valve for throttling the chamber pressure.
  • TMP turbo-molecular vacuum pump
  • a 1000 to 3000 liter per second TMP can be employed.
  • TMPs can be used for low pressure processing, typically less than 50 mTorr.
  • a mechanical booster pump and dry roughing pump can be used.
  • a device for monitoring chamber pressure (not shown) can be coupled to the plasma processing chamber 10.
  • plasma processing system 1 a further comprises a controller 90 that comprises a microprocessor, memory, and a digital I/O port capable of generating control voltages sufficient to communicate and activate inputs to plasma processing system 1 a as well as monitor outputs from plasma processing system 1 a.
  • controller 90 comprises a microprocessor, memory, and a digital I/O port capable of generating control voltages sufficient to communicate and activate inputs to plasma processing system 1 a as well as monitor outputs from plasma processing system 1 a.
  • controller 90 can be coupled to and can exchange information with RF generator 40, impedance match network 42, optional DC power supply 50, the gas injection system (not shown), vacuum pumping system 30, as well as the backside gas delivery system (not shown), the substrate/substrate holder temperature control system (not shown), and/or the electrostatic clamping system (not shown).
  • a program stored in the memory can be utilized to activate the inputs to the aforementioned components of plasma processing system 1 a according to a process recipe in order to perform the method of etching a thin film.
  • controller 90 is a DELL PRECISION WORKSTATION 610TM , available from Dell Corporation, Austin, Texas.
  • Controller 90 may be locally located relative to the plasma processing system 1 a, or it may be remotely located relative to the plasma processing system 1 a via an internet or intranet. Thus, controller 90 can exchange data with the plasma processing system 1 a using at least one of a direct connection, an intranet, or the internet. Controller 90 may be coupled to an intranet at a customer site (i.e., a device maker, etc.), or coupled to an intranet at a vendor site (i.e., an equipment manufacturer). Furthermore, another computer (i.e., controller, server, etc.) can access controller 90 to exchange data via at least one of a direct connection, an intranet, or the internet.
  • a customer site i.e., a device maker, etc.
  • a vendor site i.e., an equipment manufacturer
  • another computer i.e., controller, server, etc.
  • controller 90 can access controller 90 to exchange data via at least one of a direct connection, an intranet, or the internet.
  • embodiments of this invention may be used as or to support a software program executed upon some form of processing core (such as a processor of a computer, e.g., controller 90) or otherwise implemented or realized upon or within a machine-readable medium.
  • a machine-readable medium includes any mechanism for storing information in a form readable by a machine (e.g., a computer).
  • a machine-readable medium can include such as a read only memory (ROM); a random access memory (RAM); a magnetic disk storage media; an optical storage media; and a flash memory device, etc.
  • controller 90 can be coupled to magnetic field system 60 in order to regulate the speed of rotation and field strength.
  • the design and implementation of a rotating magnetic field is well known to those skilled in the art.
  • a plasma processing system 1 c can be similar to the embodiment of FIG. 5 or FIG. 6, and can further comprise a RF generator 70 configured to couple RF power to upper electrode 52 through an optional impedance match network 72.
  • a typical frequency for the application of RF power to upper electrode 52 can range from about 0.1 MHz to about 200 MHz.
  • a typical frequency for the application of power to the substrate holder 20 (or lower electrode) can range from about 0.1 MHz to about 100 MHz.
  • the RF frequency coupled to the upper electrode 52 can be relatively higher than the RF frequency coupled to the substrate holder 20.
  • the RF power to the upper electrode 52 from RF generator 70 can be amplitude modulated, or the RF power to the substrate holder 20 from RF generator 40 can be amplitude modulated, or both RF powers can be amplitude modulated. Desirably, the RF power at the higher RF frequency is amplitude modulated.
  • controller 90 is coupled to RF generator 70 and impedance match network 72 in order to control the application of RF power to upper electrode 52.
  • the design and implementation of an upper electrode is well known to those skilled in the art.
  • the optional DC power supply 50 may be directly coupled to upper electrode 52, or it may be coupled to the RF transmission line extending from an output end of impedance match network 72 to upper electrode 52.
  • An electrical filter may be utilized to decouple RF power from DC power supply 50.
  • a plasma processing system 1 d can, for example, be similar to the embodiments of FIGs. 5, 6 and 7, and can further comprise an inductive coil 80 to which RF power is coupled via RF generator 82 through an optional impedance match network 84.
  • RF power is inductively coupled from inductive coil 80 through a dielectric window (not shown) to plasma in processing region 15.
  • a typical frequency for the application of RF power to the inductive coil 80 can range from about 10 MHz to about 100 MHz.
  • a typical frequency for the application of power to the substrate holder 20 (or lower electrode) can range from about 0.1 M Hz to about 100 MHz.
  • inductive coil 80 can be a "spiral" coil or “pancake” coil in communication with the plasma in processing region 15 from above as in a transformer coupled plasma (TCP) reactor.
  • ICP inductively coupled plasma
  • TCP transformer coupled plasma
  • the plasma can be formed using electron cyclotron resonance (ECR).
  • ECR electron cyclotron resonance
  • the plasma is formed from the launching of a Helicon wave.
  • the plasma is formed from a propagating surface wave.
  • ECR electron cyclotron resonance
  • Each plasma source described above is well known to those skilled in the art.
  • a plasma processing system 1 e can, for example, be similar to the embodiments of FIGs. 5, 6 and 7, and can further comprise a second RF generator 44 configured to couple RF power to substrate holder 20 through another optional impedance match network 46.
  • a typical frequency for the application of RF power to substrate holder 20 can range from about 0.1 MHz to about 200 MHz for either the RF generator 40 or the second RF generator 44 or both.
  • the RF frequency for the second RF generator 44 can be relatively greater than the RF frequency for the RF generator 44.
  • the RF power to the substrate holder 20 from RF generator 40 can be amplitude modulated, or the RF power to the substrate holder 20 from RF generator 44 can be amplitude modulated, or both RF powers can be amplitude modulated. Desirably, the RF power at the higher RF frequency is amplitude modulated.
  • controller 90 is coupled to the secondRF generator 44 and impedance match network 46 to control the application of RF power to substrate holder 20.
  • a method of etching a SiCOH-containing layer utilizing a plasma processing system is presented.
  • the plasma processing system can comprise various elements, such as described in FIGs. 5 through 9, and combinations thereof.
  • a process parameter space for etching a SiCOH- containing layer can comprise a chamber pressure of about 5 to about 1000 mTorr, a NF 3 process gas flow rate ranging from about 1 to about 500 seem, an optional additive gas flow rate ranging from about 1 to about 500 seem, an upper electrode (UEL) (e.g., element 52 in FIG.
  • UEL upper electrode
  • RF bias ranging from about 0 to about 2000 W
  • a lower electrode (LEL) (e.g., element 20 in FIG. 5)
  • LEL lower electrode
  • the upper electrode bias frequency can range from about 0.1 MHz to about 200 MHz, e.g., 60 MHz.
  • the lower electrode bias frequency can range from about 0.1 MHz to about 100 MHz, e.g., 2 MHz.

Abstract

A method of patterning a film stack is described. The method comprises preparing a film stack on a substrate, wherein the film stack comprises a SiCOH-containing layer formed on the substrate, a silicon oxide (SiOx) layer formed on the SiCOH-containing layer, and a mask layer formed on the silicon oxide layer. A pattern is created in the mask layer. Thereafter, the pattern in the mask layer is transferred to the silicon oxide layer using an etching process, and then the mask layer is removed. The pattern in the silicon oxide layer is transferred to the SiCOH- containing layer using a dry plasma etching process formed from a process composition comprising NF3.

Description

METHOD FOR ETCHING LOW-K MATERIAL USING AN OXIDE HARD
MASK
CROSS-REFERENCE TO RELATED APPLICATIONS [0001] This application is related to co-pending U.S. Patent Application No. 1 1/836,977, entitled "METHOD FOR FORMING A DAMASCENE STRUCTURE" (TTCA-226), filed on August 10, 2007. The entire content of this application is herein incorporated by reference in its entirety.
BACKGROUND OF THE INVENTION
FIELD OF THE INVENTION
[0002] The present invention relates to a method for etching a low dielectric constant (low-k) dielectric film on a substrate, and more particularly to a method for etching a SiCOH-containing low-k film.
DESCRIPTION OF RELATED ART
[0003] In material processing methodologies, pattern etching can comprise the application of a thin layer of radiation-sensitive material, such as photoresist, to an upper surface of a substrate that is subsequently patterned in order to provide a mask for transferring this pattern to the underlying thin film on a substrate during etching. The patterning of the radiation-sensitive material generally involves a lithographic process, wherein the radiation-sensitive material is exposed to a geometric pattern of electromagnetic (EM) radiation using, for example, a micro-lithography system, followed by the removal of the irradiated regions of the radiation- sensitive material (as in the case of positive resist), or non-irradiated regions (as in the case of negative resist) using a developing solvent. [0004] Moreover, this lithographic mask layer may comprise multiple sub-layers. For example, the mask layer may further include an anti- reflective coating (ARC) underlying the layer of radiation-sensitive material. If the mask layer includes additional layers, such as an ARC layer, then the lithographic pattern formed in the layer of radiation- sensitive material may be transferred to the ARC layer using dry development techniques or wet development techniques. [0005] These lithographic structures have been used to pattern features for front end of line (FEOL) operations, such as gate formation, as well as back-end-of-line (BEOL) operations, such as metal intra-/inter- connects. For example, a lithographic structure is often utilized in the preparation of dual damascene structures for BEOL operations. However, with the implementation of low dielectric constant (low-k) and ultra-low-k dielectric materials in BEOL structures, it has been recognized that the processes utilized for removing the lithographic mask can damage the dielectric material. Therefore, a hard mask layer (or layers) have been contemplated for insertion between the lithographic mask layer and the underlying dielectric material, wherein once the lithographic pattern is transferred to the hard mask layer (or layers), the lithographic mask layer may be removed, thus, reducing damage to the dielectric material. [0006] Thereafter, the hard mask layer (or layers) may serve as the mask for patterning the dielectric material. In order to achieve sufficient etch selectivity between etching the dielectric material and etching the hard mask layer (or layers), metal hard mask layers, such as Ti-containing materials, Ta-containing materials, etc., have been contemplated. However, these metal hard masks pose additional problems, including, but not limited to, establishing an etch chemistry for patterning the metal hard mask, as well as removing the metal hard mask.
SUMMARY OF THE INVENTION
[0007] The invention relates to a method for patterning a thin film on a substrate.
[0008] Additionally, the invention relates to a method for etching a dielectric film. For example, the dielectric film can include a low dielectric constant (low-k) dielectric film. Furthermore, for example, the dielectric film can include a SiCOH-containing dielectric film. [0009] According to one embodiment, a method of patterning a film stack is described. The method comprises preparing a film stack on a substrate, wherein the film stack comprises a SiCOH-containing layer formed on the substrate, a silicon oxide (SiOx) layer formed on the SiCOH- containing layer, and a mask layer formed on the silicon oxide layer. A pattern is created in the mask layer. Thereafter, the pattern in the mask layer is transferred to the silicon oxide layer using an etching process, and then the mask layer is removed. The pattern in the silicon oxide layer is transferred to the SiCOH-containing layer using a dry plasma etching process formed from a process composition comprising NF3. [0010] According to another embodiment, a method of, and computer readable medium for, etching a thin film on a substrate is described. The method comprises disposing a substrate having a SiCOH-containing layer and silicon oxide layer in a plasma processing system; introducing a process composition comprising nitrogen trifluoride (NF3); forming plasma from the process composition in the plasma processing system; and exposing the substrate to the plasma in order to etch the SiCOH- containing layer with selectivity to the silicon oxide layer.
BRIEF DESCRIPTION OF THE DRAWINGS [0011] In the accompanying drawings:
[0012] FIGS. 1 A through 1 D illustrate schematically a method for patterning a thin film on a substrate according to an embodiment; [0013] FIGs. 2A through 2H illustrate schematically a method for forming a trench-via structure on a substrate according to another embodiment;
[0014] FIG. 3 illustrates a flow chart of a method for patterning a thin film on a substrate according to another embodiment; [0015] FIG. 4 illustrates a flow chart of a method for forming a trench- via structure on a substrate according to another embodiment; [0016] FIG. 5 shows a schematic representation of a processing system according to an embodiment;
[0017] FIG. 6 shows a schematic representation of a processing system according to another embodiment;
[0018] FIG. 7 shows a schematic representation of a processing system according to another embodiment;
[0019] FIG. 8 shows a schematic representation of a processing system according to another embodiment; and
[0020] FIG. 9 shows a schematic representation of a processing system according to another embodiment.
DETAILED DESCRIPTION OF EXEMPLARY EMBODIMENTS [0021] In the following description, for purposes of explanation and not limitation, specific details are set forth, such as particular processes and patterning systems. However, it should be understood that the invention may be practiced in other embodiments that depart from these specific details.
[0022] According to one embodiment, a method of patterning a film stack is described. The method comprises preparing a film stack on a substrate, wherein the film stack comprises a SiCOH-containing layer formed on the substrate, a silicon oxide (SiOx) layer formed on the SiCOH- containing layer, and a mask layer formed on the silicon oxide layer. A pattern is created in the mask layer. Thereafter, the pattern in the mask layer is transferred to the silicon oxide layer using an etching process, and then the mask layer is removed. The pattern in the silicon oxide layer is transferred to the SiCOH-containing layer using a dry plasma etching process formed from a process composition comprising NF3. [0023] According to another embodiment, a method of patterning a structure on a substrate is schematically illustrated in FIGs. 1 A through 1 D, and is illustrated in a flow chart 300 in FIG. 3. For example, the structure may include a trench, a via, or a contact. The method begins in 310 with forming a film stack 1 on a substrate 2. The film stack 1 comprises a thin film 3 formed on the substrate 2, a silicon oxide layer 4 formed on the thin film 3, and a mask layer 5 formed on the silicon oxide layer 4. The silicon oxide layer 4 may be silicon dioxide (SiO2), or more generally SiOx. Additionally, the film stack may include additional layers. [0024] The thin film 3 comprises a dielectric layer that may include a material layer, or plurality of material layers, having silicon (Si), carbon (C), oxygen (O), and hydrogen (H). For instance, the thin film 3 may comprise a low dielectric constant (i.e., low-k) or ultra-low dielectric constant (i.e., ultra-low-k) dielectric layer having Si, C, O, and H, wherein a nominal dielectric constant value of the thin film 3 is less than the dielectric constant of SiO2, which is approximately 4 (e.g., the dielectric constant for thermal silicon oxide can range from 3.8 to 3.9). More specifically, the thin film 3 may have a dielectric constant of less than 3.7, or a dielectric constant ranging from 1.6 to 3.7. The thin film 3 may be non-porous or porous.
[0025] The thin film 3 can be formed using a vapor deposition technique, such as chemical vapor deposition (CVD), plasma enhanced CVD (PECVD), atomic layer deposition (ALD), plasma enhanced ALD (PEALD), physical vapor deposition (PVD), or ionized PVD (iPVD), or a spin-on technique, such as those offered in the Clean Track ACT 8 SOD (spin-on dielectric), ACT 12 SOD, and Lithius coating systems commercially available from Tokyo Electron Limited (TEL). The Clean Track ACT 8 (200 mm), ACT 12 (300 mm), and Lithius (300 mm) coating systems provide coat, bake, and cure tools for SOD materials. The track system can be configured for processing substrate sizes of 100 mm, 200 mm, 300 mm, and greater. Other systems and methods for forming a thin film on a substrate are well known to those skilled in the art of both spin-on technology and vapor deposition technology. [0026] The silicon oxide (SiOx) layer can be formed using a vapor deposition technique, or a spin-on technique, or any other technique known to those skilled in the art of silicon oxide film formation. [0027] The mask layer 5 can include one or more layers. For example, the mask layer 5 can comprise a layer of radiation-sensitive material, such as light-sensitive material, overlying an anti-reflective coating (ARC) layer. Additionally, for example, the mask layer 5 can comprise a layer of radiation-sensitive material overlying an anti-reflective coating (ARC) layer, which is overlying an optional organic planahzation layer (OPL). Alternatively, the mask layer 5 can include a bilayer mask, or multilayer mask, having an anti-reflective coating (ARC), such as a buried ARC (BARC) layer, a sacrificial DUO™ layer, or a tunable etch resistant ARC (TERA) layer, embedded therein.
[0028] The layer of radiation-sensitive material can comprise photoresist. For example, photoresist may include 248 nm (nanometer) resists, 193 nm resists, 157 nm resists, EUV (extreme ultraviolet) resists, or electron sensitive resists. The photoresist layer may be formed using spin-on techniques.
[0029] The ARC layer possesses material properties suitable for use as an anti-reflective coating. Additionally, the ARC layer is selected to be compatible with the overlying photoresist layer and the lithographic wavelength, i.e., ArF, KrF, etc. The ARC layer may be formed using vapor deposition techniques or spin-on techniques. [0030] The optional OPL may include a photo-sensitive organic polymer or an etch type organic compound. For instance, the photosensitive organic polymer may be polyacrylate resin, epoxy resin, phenol resin, polyamide resin, polyimide resin, unsaturated polyester resin, polyphenylenether resin, polyphenylenesulfide resin, or benzocyclobutene (BCB). These materials may be formed using spin-on techniques. [0031] One or more of the layers serving as mask layer 5 can be formed using a track system. For example, the track system may comprise a Clean Track ACT 8, ACT 12, or Lithius resist coating and developing system commercially available from Tokyo Electron Limited (TEL). Other systems and methods for forming a photo-resist film on a substrate are well known to those skilled in the art of spin-on resist technology. The coating of the photoresist layer may include any or all processes known to those skilled in the art of preparing such films including, but not limited to, performing a cleaning process prior to the coating process, performing a post-application bake (PAB) following the coating process, etc.
[0032] In 320 and as shown in FIG. 1 B, a mask pattern 6 is formed in the mask layer 5. For example, the mask layer 5 may be imaged with an image pattern, and thereafter developed. The image pattern may comprise a via or contact pattern, or a trench pattern. The exposure to EM (electromagnetic) radiation through a reticle is performed in a dry or wet photo-lithography system. The image pattern can be formed using any suitable conventional stepping lithographic system, or scanning lithographic system. For example, the photo-lithographic system may be commercially available from ASML Netherlands B.V. (De Run 6501 , 5504 DR Veldhoven, The Netherlands), or Canon USA, Inc., Semiconductor Equipment Division (3300 North First Street, San Jose, CA 95134). If mask layer 5 includes additional layers, such as an ARC layer, then mask pattern 6 can be transferred to these layers using dry development techniques and/or wet development techniques known to those skilled in the art of bilayer, thlayer, etc., mask development. [0033] Thereafter, in 330 and as shown in FIG. 1 C, the mask pattern 6 formed in mask layer 5 is transferred to the silicon oxide layer 4 to form a hard mask pattern 7. The transfer of mask pattern 6 can be accomplished using an etching process, such as a dry etching process or a wet etching process. The etching process may include a dry plasma or dry non- plasma etching process. When utilizing a dry plasma etching process for a silicon oxide film, the plasma etch gas composition may include a fluorocarbon-based chemistry such as at least one of C4Fs, CsFs, C3F6, C4F6, CF4, or more generally CxFy (where x and y are integers greater than or equal to unity), or a fluorohydrocarbon-based chemistry such as at least one of CHF3, CH2F2, or more generally CxFyHz (where x, y and z are integers greater than or equal to unity), or a combination of two or more thereof. Furthermore, additive gases may include an inert gas, such as a noble gas, oxygen, or CO, or two or more thereof. Alternatively, as would be understood by one skilled in the art of silicon oxide etching, any etching process chemistry can be employed that selectively etches the silicon oxide layer 4 relative to the mask layer 5.
[0034] In 340 and as shown in FIG. 1 C, following the transfer of mask pattern 6 to the silicon oxide layer 4, the mask layer 5 is removed. The mask layer 5 can be removed using a wet or dry stripping/ashing process prior to transferring hard mask pattern 7 in silicon oxide layer 4 to the underlying thin film 3. As one advantage, for instance, the removal of the mask layer 5 prior to etching the thin film 3 may be simpler, due to the lack of hardened crusts resultant from etching of the thin film 3. Additionally, for instance, the removal of the mask layer 5 prior to etching of the thin film 3 minimizes the exposure of thin film 3 to a wet clean process when applying strip chemicals during a wet stripping process, or an ashing process when using an oxidizing plasma to remove photoresist and post- etch residue. For example, advanced (porous or non-porous) SiCOH- containing dielectric materials can be damaged when exposed to these etching, stripping and/or ashing processes, and thus, prior removal of the mask layer 5 can minimize damage of the thin film 3. [0035] In 350 and as shown in FIG. 1 D, following the removal of mask layer 5, the hard mask pattern 7 formed in silicon oxide layer 4 is transferred to the underlying thin film 3 using a dry plasma etching process to form a pattern 8 in thin film 3. According to one embodiment, the dry plasma etching process for transferring hard mask pattern 7 from the silicon oxide layer 4 to the underlying thin film 3 comprises introducing a process composition comprising NF3 and an optional additive gas, and forming plasma from the process composition. The additive gas may serve as a dilution gas and/or a passivation gas. Thus, the etching process can provide improvements in the etch selectivity between a SiCOH-containing layer and those materials where etching is not intended such as SiO2, for example. [0036] The additive gas may comprise an oxygen containing gas, a nitrogen containing gas, a fluorocarbon gas (characterized by CxFy, wherein x and y are integers greater than or equal to unity), a hydrofluorocarbon gas (characterized by CxFyHz, wherein x, y and z are integers greater than or equal to unity), or a hydrocarbon gas (characterized by CxHy, wherein x and y are integers greater than or equal to unity), or a combination of two or more thereof. For example, the oxygen containing gas may comprise O2, NO, NO2, N2O, CO, or CO2, or a combination of two or more thereof. Additionally, for example, the nitrogen containing gas may comprise N2, or NH3, or both. Additionally, the fluorocarbon gas may comprise C4F8, C5F8, C3F6, C4F6, or CF4, or two or more thereof. Additionally, the hydrofluorocarbon gas may comprise CHF3, or CH2F2, or both. Furthermore, the hydrocarbon gas may comprise C2H4, CH4, C2H2, C2H6, C3H4, C3H6, C3H8, C4H6, C4H8, C4H10, CsH8, C5H10, C6H6, C6HiO, or C6Hi2, or two or more thereof. The process composition may further include an inert gas, such as a noble gas (e.g., He, Ne, Ar, Kr, Xe).
[0037] According to another embodiment, a method of patterning a structure on a substrate is schematically illustrated in FIGs. 2A through 2H, and is illustrated in a flow chart 400 in FIG. 4. For example, the structure comprises a trench-via structure or damascene structure for making contact with a metal line 1 12. The method begins in 410 with forming a film stack 100 on a substrate 1 10. The film stack 100 comprises an optional etch stop layer 1 15 formed on substrate 1 10, a thin film 120 formed on the optional etch stop layer 1 15, a silicon oxide layer 140 formed on the thin film 120, and a first mask layer 150 formed on the silicon oxide layer 140. The silicon oxide layer 140 may be silicon dioxide (SiO2), or more generally SiOx. Additionally, the film stack may include additional layers. For example, one or more layers may be placed above or below the thin film 120. Additionally, for example, a metal hard mask may be placed above the thin film 120. [0038] The optional etch stop layer 1 15 may include silicon nitride (SixNy), silicon carbide (SixCy), silicon carbonithde (SiCxNy), or SiCxNyHz, such as NBLoK™.
[0039] The thin film 120 comprises a dielectric layer that may include a material layer, or plurality of material layers, having Si, C, O, and H. For instance, the thin film 120 may comprise a low-k or ultra-low-k dielectric layer having Si, C, O, and H.
[0040] The thin film 120 may be formed using a vapor deposition technique, such as CVD, PECVD, ALD, PEALD, PVD, or iPVD, or a spin- on technique, such as those offered in the Clean Track ACT 8 SOD (spin- on dielectric), ACT 12 SOD, and Lithius coating systems commercially available from Tokyo Electron Limited (TEL). The Clean Track ACT 8 (200 mm), ACT 12 (300 mm), and Lithius (300 mm) coating systems provide coat, bake, and cure tools for SOD materials. The track system may be configured for processing substrate sizes of 100 mm, 200 mm, 300 mm, and greater. Other systems and methods for forming a thin film on a substrate are well known to those skilled in the art of both spin-on technology and vapor deposition technology.
[0041] The first mask layer 150 may include one or more layers. For example, the first mask layer 150 may comprise a layer of radiation- sensitive material, such as a light-sensitive material, overlying an ARC layer. Additionally, for example, the first mask layer 150 may comprise a layer of radiation-sensitive material overlying an ARC layer, which is overlying an optional OPL. Alternatively, the first mask layer 150 may include a bilayer mask, or multilayer mask, having an ARC, such as a BARC layer, a sacrificial DUO™ layer, or a TERA layer, embedded therein.
[0042] The layer of radiation-sensitive material may comprise photoresist. For example, photoresist may include 248 nm resists, 193 nm resists, 157 nm resists, EUV resists, or electron sensitive resists. The photoresist layer may be formed using spin-on techniques. [0043] The ARC layer possesses material properties suitable for use as an anti-reflective coating. Additionally, the ARC layer is selected to be compatible with the overlying photoresist layer and the lithographic wavelength, i.e., ArF, KrF, etc. The ARC layer may be formed using vapor deposition techniques or spin-on techniques. [0044] The optional OPL may include a photo-sensitive organic polymer or an etch type organic compound. For instance, the photosensitive organic polymer may be polyacrylate resin, epoxy resin, phenol resin, polyamide resin, polyimide resin, unsaturated polyester resin, polyphenylenether resin, polyphenylenesulfide resin, or benzocyclobutene (BCB). These materials may be formed using spin-on techniques. [0045] One or more of the layers serving as first mask layer 150 may be formed using a track system. For example, the track system may comprise a Clean Track ACT 8, ACT 12, or Lithius resist coating and developing system commercially available from Tokyo Electron Limited (TEL). Other systems and methods for forming a photo-resist film on a substrate are well known to those skilled in the art of spin-on resist technology. The coating of the photoresist layer may include any or all processes known to those skilled in the art of preparing such films including, but not limited to, performing a cleaning process prior to the coating process, performing a post-application bake (PAB) following the coating process, etc.
[0046] In addition to the silicon oxide layer 140, film stack 100 may include one or more additional material layers including a metal-containing layer, such as titanium (Ti), titanium nitride (TixNy), tantalum (Ta), tantalum nitride (TaxNy), aluminum (Al), or aluminum-copper alloy (Al-Cu), or a dielectric material, such as silicon carbide (SixCy), silicon nitride (SixNy), or silicon oxynithde (SixOyNz), or amorphous carbon (a-C). Furthermore, the silicon oxide layer 140 may be replaced by any one or more of these material layers. For example, the silicon oxide layer 140 may optionally include an overlying metal hard mask layer and/or an underlying hard mask layer. [0047] In 420 and as shown in FIG. 2B, a trench image pattern 152 is formed in the first mask layer 150. For example, the first mask layer 150 may be imaged with an image pattern, and thereafter developed. The exposure to EM radiation through a reticle is performed in a dry or wet photo-lithography system. The image pattern may be formed using any suitable conventional stepping lithographic system, or scanning lithographic system. For example, the photo-lithographic system may be commercially available from ASML Netherlands B.V. (De Run 6501 , 5504 DR Veldhoven, The Netherlands), or Canon USA, Inc., Semiconductor Equipment Division (3300 North First Street, San Jose, CA 95134). If the first mask layer 150 includes additional layers, such as an ARC layer, then trench image pattern 152 can be transferred to these layers using dry development techniques and/or wet development techniques known to those skilled in the art of bilayer, thlayer, etc., mask development. [0048] Thereafter, in 430 and as shown in FIG. 2C, the trench image pattern 152 formed in first mask layer 150 is transferred to the silicon oxide layer 140 to form trench pattern 154. The formation of trench pattern 154 can be accomplished using an etching process, such as a dry etching process or a wet etching process. The etching process may include a dry plasma etching process or dry non-plasma etching process. When utilizing a dry plasma etching process for a silicon oxide film, the plasma etch gas composition may include a fluorocarbon-based chemistry such as at least one of C4F8, C5F8, C3F6, C4F6, CF4, etc., or a fluorohydrocarbon- based chemistry such as at least one of CHF3, CH2F2, etc., or a combination of two or more thereof. Furthermore, additive gases may include an inert gas, such as a noble gas, oxygen, or CO, or two or more thereof. Alternatively, as would be understood by one skilled in the art of silicon oxide etching, any etching process chemistry can be employed that selectively etches the silicon oxide layer 140 relative to the first mask layer 150.
[0049] In 440 and as shown in FIG. 2C, following the transfer of trench image pattern 152 to the silicon oxide layer 140, the first mask layer 150 is removed. The first mask layer 150 can be removed using a wet or dry stripping/ashing process.
[0050] In 450 and as shown in FIG. 2D, a second mask layer 160 is formed on film stack 100. Thereafter, in 460, a via (or contact) image pattern 162 is formed and aligned with the trench pattern 154 in the silicon oxide layer 140.
[0051] In 470 and as shown in FIG. 2E, the via image pattern 162 is transferred to the thin film 120 using a first etching process to form via pattern 164. According to one embodiment, as shown in FIG. 2E, the via pattern 164 may be fully completed in the thin film 120, e.g., the via pattern 164 extends through the full thickness of thin film 120. The first etching process for transferring the via pattern can stop on the optional etch stop layer 1 15. Alternatively, according to another embodiment, as shown in FIG. 2F, a partial via pattern 165 may be partially transferred to the thin film 120, e.g., the partial via pattern 165 extends to only a fraction of the full thickness of thin film 120. In either embodiment, the first etching process may comprise a conventional etch process for SiCOH-containing materials as would be understood by one skilled in the art of low-k dielectric etching, or the NF3-based process chemistry described above and below.
[0052] Thereafter, in 480 and as shown in FIGs. 2E and 2F, the second mask layer 160 is removed. The second mask layer 160 can be removed using a wet or dry stripping/ashing process prior to transferring trench pattern 154 in silicon oxide layer 140 to the thin film 120. As one advantage, for instance, the removal of the second mask layer 160 prior to etching the thin film 120 may be simpler, due to the lack of hardened crusts resultant from etching of the thin film 120. Additionally, for instance, the removal of the second mask layer 160 prior to etching of the thin film 120 minimizes the exposure of thin film 120 to a wet clean process when applying strip chemicals during a wet stripping process, or an ashing process when using an oxidizing plasma to remove photoresist and post- etch residue. For example, advanced (porous or non-porous) SiCOH- containing dielectric materials can be damaged when exposed to these etching, stripping and/or ashing processes, and thus, prior removal of the second mask layer 160 can minimize damage of the thin film 120. [0053] In 490 and as shown in FIG. 2G, following the removal of second mask layer 160, the trench pattern 154 formed in silicon oxide layer 140 is transferred to the thin film 120 using a second etching process, such as a dry plasma etching process, to form a trench-via structure 170. During the second etching process to transfer the trench pattern 154, the partial via pattern 165 can be completed in the thin film 120 and the second etching process can stop on the optional etch stop layer 1 15. According to one embodiment, the second etching process for transferring trench pattern 154 from the silicon oxide layer 140 to the thin film 120 comprises introducing a process composition comprising NF3 and an optional additive gas, and forming plasma from the process composition. The additive gas may serve as a dilution gas and/or a passivation gas. Thus, the second etching process can provide improvements in the etch selectivity between a SiCOH-containing layer and those materials where etching is not intended such as SiO2, for example.
[0054] The additive gas may comprise an oxygen containing gas, a nitrogen containing gas, a fluorocarbon gas (characterized by CxFy, wherein x and y are integers greater than or equal to unity), a hydrofluorocarbon gas (characterized by CxFyHz, wherein x, y and z are integers greater than or equal to unity), or a hydrocarbon gas (characterized by CxHy, wherein x and y are integers greater than or equal to unity), or a combination of two or more thereof. For example, the oxygen containing gas may comprise O2, NO, NO2, N2O, CO, or CO2, or a combination of two or more thereof. Additionally, for example, the nitrogen containing gas may comprise N2, or NH3, or both. Additionally, the fluorocarbon gas may comprise C4F8, C5F8, C3F6, C4F6, or CF4, or two or more thereof. Additionally, the hydrofluorocarbon gas may comprise CHF3, or CH2F2, or both. Furthermore, the hydrocarbon gas may comprise C2H4, CH4, C2H2, C2H6, C3H4, C3H6, C3H8, C4H6, C4H8, C4H10, C5H8, C5H10, C6H6, C6H10, or C6H12, or two or more thereof. The process composition may further include an inert gas, such as a noble gas (e.g., He, Ne, Ar, Kr, Xe).
[0055] Thereafter, as shown in FIG. 2H, the via pattern 164 can be transferred to the optional etch stop layer 1 15. One or more barrier layers or seed layers 172 may be conformally deposited, and the trench-via structure 170 can be filled with metal and planahzed to create a damascene (interconnect) structure 174. The silicon oxide layer 140 can act as a stop layer for the planahzation process (such as a chemical- mechanical planahzation (CMP) process).
[0056] FIG. 5 illustrates a plasma processing system according to an embodiment. Plasma processing system 1 a comprises a plasma processing chamber 10, substrate holder 20, upon which a substrate 25 to be processed is affixed, and vacuum pumping system 30. Substrate 25 can be a semiconductor substrate, a wafer, or a liquid crystal display. Plasma processing chamber 10 can be configured to facilitate the generation of plasma in processing region 15 adjacent a surface of substrate 25. An ionizable gas or mixture of gases is introduced via a gas injection system (not shown) and the process pressure is adjusted. For example, a control mechanism (not shown) can be used to throttle the vacuum pumping system 30. Plasma can be utilized to create materials specific to a pre-determined materials process, and/or to aid the removal of material from the exposed surfaces of substrate 25. The plasma processing system 1 a can be configured to process a substrate of any size, such as 200 mm substrates, 300 mm substrates, or larger. [0057] Substrate 25 may be affixed to the substrate holder 20 via an electrostatic clamping system. Furthermore, substrate holder 20 can further include a temperature control system for controlling the temperature of substrate 25 during various etching processes. [0058] The substrate holder 20 can comprise a temperature control system having a cooling system or a heating system or both. For instance, the cooling system or heating system can include a re-circulating fluid flow that receives heat from substrate holder 20 and transfers heat to a heat exchanger system (not shown) when cooling, or transfers heat from the heat exchanger system to the fluid flow when heating. Additionally, for instance, the cooling system or heating system may comprise heating/cooling elements, such as resistive heating elements, or thermoelectric heaters/coolers located within the substrate holder 20. For example, the substrate temperature may range from approximately 10 degrees C to approximately 250 degrees, and can range from approximately 10 degrees C to approximately 50 degrees C. [0059] Moreover, the substrate holder 20 can facilitate the delivery of heat transfer gas to the back-side of substrate 25 via a backside gas supply system to improve the gas-gap thermal conductance between substrate 25 and substrate holder 20. Such a system can be utilized when temperature control of the substrate is required at elevated or reduced temperatures. For example, the backside gas system can comprise a two-zone gas distribution system, wherein the backside gas (e.g., helium) pressure can be independently varied between the center and the edge of substrate 25. [0060] In other embodiments, heating/cooling elements, such as resistive heating elements, or thermo-electric heaters/coolers can be included in the chamber wall of the plasma processing chamber 10 and any other component within the plasma processing system 1 a. [0061] In the embodiment shown in FIG. 5, substrate holder 20 can comprise an electrode through which RF power is coupled to the processing plasma in processing region 15. For example, substrate holder 20 can be electrically biased at a RF voltage via the transmission of RF power from a RF generator 40 through an optional impedance match network 42 to substrate holder 20. The RF bias can serve to heat electrons to form and maintain plasma, or affect the ion energy distribution function within the sheath, or both. In this configuration, the system can operate as a reactive ion etch (RIE) reactor, wherein the chamber can serve as ground surfaces. A typical frequency for the RF bias can range from 0.1 MHz to 100 MHz. RF systems for plasma processing are well known to those skilled in the art.
[0062] Furthermore, impedance match network 42 serves to improve the transfer of RF power to plasma in plasma processing chamber 10 by reducing the reflected power. Match network topologies (e.g. L-type, π- type, T-type, etc.) and automatic control methods are well known to those skilled in the art.
[0063] Referring still to FIG. 5, plasma processing system 1 a optionally comprises a direct current (DC) power supply 50 coupled to an upper electrode 52 opposing substrate 25. The upper electrode 52 may comprise an electrode plate. The electrode plate may comprise a silicon- containing electrode plate. Moreover, the electrode plate may comprise a doped silicon electrode plate. The DC power supply 50 can include a variable DC power supply. Additionally, the DC power supply 50 can include a bipolar DC power supply. The DC power supply 50 can further include a system configured to perform at least one of monitoring adjusting, or controlling the polarity, current, voltage, or on/off state of the DC power supply 50. Once plasma is formed, the DC power supply 50 facilitates the formation of a ballistic electron beam (collision-less electron beam). An electrical filter may be utilized to de-couple RF power from the DC power supply 50.
[0064] For example, the DC voltage applied to upper electrode 52 by DC power supply 50 may range from approximately -2000 volts (V) to approximately 1000 V. Desirably, the absolute value of the DC voltage has a value equal to or greater than approximately 100 V, and more desirably, the absolute value of the DC voltage has a value equal to or greater than approximately 500 V. Additionally, it is desirable that the DC voltage has a negative polarity. Furthermore, it is desirable that the DC voltage is a negative voltage having an absolute value greater than the self-bias voltage generated on a surface of the upper electrode 52. The surface of the upper electrode 52 facing the substrate holder 20 may be comprised of a silicon-containing material. [0065] Vacuum pumping system 30 can include a turbo-molecular vacuum pump (TMP) capable of a pumping speed up to 5000 liters per second (and greater) and a gate valve for throttling the chamber pressure. In conventional plasma processing devices utilized for dry plasma etch, a 1000 to 3000 liter per second TMP can be employed. TMPs can be used for low pressure processing, typically less than 50 mTorr. For high pressure processing (i.e., greater than 100 mTorr), a mechanical booster pump and dry roughing pump can be used. Furthermore, a device for monitoring chamber pressure (not shown) can be coupled to the plasma processing chamber 10. The pressure measuring device can be, for example, a Type 628B Baratron absolute capacitance manometer commercially available from MKS Instruments, Inc. (Andover, MA). [0066] Referring still to FIG. 5, plasma processing system 1 a further comprises a controller 90 that comprises a microprocessor, memory, and a digital I/O port capable of generating control voltages sufficient to communicate and activate inputs to plasma processing system 1 a as well as monitor outputs from plasma processing system 1 a. Moreover, controller 90 can be coupled to and can exchange information with RF generator 40, impedance match network 42, optional DC power supply 50, the gas injection system (not shown), vacuum pumping system 30, as well as the backside gas delivery system (not shown), the substrate/substrate holder temperature control system (not shown), and/or the electrostatic clamping system (not shown). A program stored in the memory can be utilized to activate the inputs to the aforementioned components of plasma processing system 1 a according to a process recipe in order to perform the method of etching a thin film. One example of controller 90 is a DELL PRECISION WORKSTATION 610™ , available from Dell Corporation, Austin, Texas.
[0067] Controller 90 may be locally located relative to the plasma processing system 1 a, or it may be remotely located relative to the plasma processing system 1 a via an internet or intranet. Thus, controller 90 can exchange data with the plasma processing system 1 a using at least one of a direct connection, an intranet, or the internet. Controller 90 may be coupled to an intranet at a customer site (i.e., a device maker, etc.), or coupled to an intranet at a vendor site (i.e., an equipment manufacturer). Furthermore, another computer (i.e., controller, server, etc.) can access controller 90 to exchange data via at least one of a direct connection, an intranet, or the internet.
[0068] Furthermore, embodiments of this invention may be used as or to support a software program executed upon some form of processing core (such as a processor of a computer, e.g., controller 90) or otherwise implemented or realized upon or within a machine-readable medium. A machine-readable medium includes any mechanism for storing information in a form readable by a machine (e.g., a computer). For example, a machine-readable medium can include such as a read only memory (ROM); a random access memory (RAM); a magnetic disk storage media; an optical storage media; and a flash memory device, etc. [0069] In the embodiment shown in FIG. 6, a plasma processing system 1 b can be similar to the embodiment of FIG. 5 and further comprise either a stationary, or mechanically or electrically rotating magnetic field system 60, in order to potentially increase plasma density and/or improve plasma processing uniformity. Moreover, controller 90 can be coupled to magnetic field system 60 in order to regulate the speed of rotation and field strength. The design and implementation of a rotating magnetic field is well known to those skilled in the art.
[0070] In the embodiment shown in FIG. 7, a plasma processing system 1 c can be similar to the embodiment of FIG. 5 or FIG. 6, and can further comprise a RF generator 70 configured to couple RF power to upper electrode 52 through an optional impedance match network 72. A typical frequency for the application of RF power to upper electrode 52 can range from about 0.1 MHz to about 200 MHz. Additionally, a typical frequency for the application of power to the substrate holder 20 (or lower electrode) can range from about 0.1 MHz to about 100 MHz. For example, the RF frequency coupled to the upper electrode 52 can be relatively higher than the RF frequency coupled to the substrate holder 20. Furthermore, the RF power to the upper electrode 52 from RF generator 70 can be amplitude modulated, or the RF power to the substrate holder 20 from RF generator 40 can be amplitude modulated, or both RF powers can be amplitude modulated. Desirably, the RF power at the higher RF frequency is amplitude modulated. Moreover, controller 90 is coupled to RF generator 70 and impedance match network 72 in order to control the application of RF power to upper electrode 52. The design and implementation of an upper electrode is well known to those skilled in the art. [0071] Referring still to FIG. 7, the optional DC power supply 50 may be directly coupled to upper electrode 52, or it may be coupled to the RF transmission line extending from an output end of impedance match network 72 to upper electrode 52. An electrical filter may be utilized to decouple RF power from DC power supply 50.
[0072] In the embodiment shown in FIG. 8, a plasma processing system 1 d can, for example, be similar to the embodiments of FIGs. 5, 6 and 7, and can further comprise an inductive coil 80 to which RF power is coupled via RF generator 82 through an optional impedance match network 84. RF power is inductively coupled from inductive coil 80 through a dielectric window (not shown) to plasma in processing region 15. A typical frequency for the application of RF power to the inductive coil 80 can range from about 10 MHz to about 100 MHz. Similarly, a typical frequency for the application of power to the substrate holder 20 (or lower electrode) can range from about 0.1 M Hz to about 100 MHz. In addition, a slotted Faraday shield (not shown) can be employed to reduce capacitive coupling between the inductive coil 80 and plasma. Moreover, controller 90 is coupled to RF generator 82 and impedance match network 84 in order to control the application of power to inductive coil 80. In an alternate embodiment, inductive coil 80 can be a "spiral" coil or "pancake" coil in communication with the plasma in processing region 15 from above as in a transformer coupled plasma (TCP) reactor. The design and implementation of an inductively coupled plasma (ICP) source, or transformer coupled plasma (TCP) source, is well known to those skilled in the art.
[0073] Alternately, the plasma can be formed using electron cyclotron resonance (ECR). In yet another embodiment, the plasma is formed from the launching of a Helicon wave. In yet another embodiment, the plasma is formed from a propagating surface wave. Each plasma source described above is well known to those skilled in the art. [0074] In the embodiment shown in FIG. 9, a plasma processing system 1 e can, for example, be similar to the embodiments of FIGs. 5, 6 and 7, and can further comprise a second RF generator 44 configured to couple RF power to substrate holder 20 through another optional impedance match network 46. A typical frequency for the application of RF power to substrate holder 20 can range from about 0.1 MHz to about 200 MHz for either the RF generator 40 or the second RF generator 44 or both. The RF frequency for the second RF generator 44 can be relatively greater than the RF frequency for the RF generator 44. Furthermore, the RF power to the substrate holder 20 from RF generator 40 can be amplitude modulated, or the RF power to the substrate holder 20 from RF generator 44 can be amplitude modulated, or both RF powers can be amplitude modulated. Desirably, the RF power at the higher RF frequency is amplitude modulated. Moreover, controller 90 is coupled to the secondRF generator 44 and impedance match network 46 to control the application of RF power to substrate holder 20. The design and implementation of an RF system for a substrate holder is well known to those skilled in the art. [0075] In the following discussion, a method of etching a SiCOH-containing layer utilizing a plasma processing system is presented. For example, the plasma processing system can comprise various elements, such as described in FIGs. 5 through 9, and combinations thereof. [0076] In one example, a process parameter space for etching a SiCOH- containing layer can comprise a chamber pressure of about 5 to about 1000 mTorr, a NF3 process gas flow rate ranging from about 1 to about 500 seem, an optional additive gas flow rate ranging from about 1 to about 500 seem, an upper electrode (UEL) (e.g., element 52 in FIG. 5) RF bias ranging from about 0 to about 2000 W, and a lower electrode (LEL) (e.g., element 20 in FIG. 5) RF bias ranging from about 10 to about 1000 W. Also, the upper electrode bias frequency can range from about 0.1 MHz to about 200 MHz, e.g., 60 MHz. In addition, the lower electrode bias frequency can range from about 0.1 MHz to about 100 MHz, e.g., 2 MHz. [0077] Although only certain embodiments of this invention have been described in detail above, those skilled in the art will readily appreciate that many modifications are possible in the embodiments without materially departing from the novel teachings and advantages of this invention. Accordingly, all such modifications are intended to be included within the scope of this invention.

Claims

WHAT IS CLAIMED IS:
1. A method of patterning a film stack, comprising: preparing a film stack on a substrate, said film stack comprising a SiCOH-containing layer formed on said substrate, a silicon oxide (SiOx) layer formed on said SiCOH-containing layer, and a mask layer formed on said silicon oxide layer; creating a pattern in said mask layer; transferring said pattern in said mask layer to said silicon oxide layer using an etching process; removing said mask layer; and transferring said pattern in said silicon oxide layer to said SiCOH- containing layer using a dry plasma etching process formed from a process composition comprising NF3.
2. The method of claim 1 , wherein said transferring said pattern in said silicon oxide layer to said SiCOH-containing layer follows said removal of said mask layer.
3. The method of claim 2, wherein said process composition further comprises He, Ne, Ar, Xe, Kr, N2, O2, NO, N2O, NO2, CO, or CO2, or a combination of two or more thereof.
4. The method of claim 1 , wherein said process composition further comprises a noble gas.
5. The method of claim 1 , wherein said process composition further comprises a gaseous composition having the formula CxFyHz, wherein x and y are an integer greater than or equal to unity and z is an integer greater than or equal to zero.
6. The method of claim 1 , wherein said SiCOH-containing layer is formed using a vapor deposition process.
7. The method of claim 1 , wherein said preparing said film stack comprises forming a mask layer using a 248 nm photo-resist, a 193 nm photo-resist, a 157 nm photo-resist, an EUV photo-resist, or an electron sensitive resist, or a combination of two or more thereof.
8. The method of claim 1 , wherein said preparing said film stack comprises forming a mask layer having a layer of radiation-sensitive material overlying an anti-reflective coating (ARC).
9. The method of claim 1 , further comprising: during said transferring said pattern in said silicon oxide layer to said SiCOH-containing layer, controlling the temperature of said substrate.
10. The method of claim 9, wherein the temperature of said substrate ranges from approximately 10 degrees C to approximately 50 degrees C.
1 1 . A method of etching a thin film on a substrate, comprising: disposing a substrate having a SiCOH-containing layer and silicon oxide layer in a plasma processing system; introducing a process composition comprising nitrogen trifluoride (NF3); forming plasma from said process composition in said plasma processing system; and exposing said substrate to said plasma in order to etch said SiCOH- containing layer with selectivity to said silicon oxide layer.
12. The method of claim 1 1 , wherein said process composition further comprises a dilutant.
13. The method of claim 12, wherein said dilutant comprises He, Ne, Ar, Xe, Kr, N2, O2, NO, N2O, NO2, CO, or CO2, or a combination of two or more thereof.
14. The method of claim 1 1 , wherein said process composition further comprises a noble gas.
15. The method of claim 1 1 , wherein said process composition further comprises a gaseous composition having the formula CxFyHz, wherein x and y are an integer greater than or equal to unity and z is an integer greater than or equal to zero.
16. The method of claim 1 1 , further comprising: controlling the temperature of said substrate.
17. The method of claim 16, wherein the temperature of said substrate ranges from approximately 10 degrees C to approximately 50 degrees C.
18. The method of claim 1 , wherein said forming said plasma comprises capacitively coupling power to said plasma, or inductively coupling power to said plasma, or both.
19. The method of claim 1 , wherein said forming said plasma comprises coupling radio frequency (RF) power to a substrate holder upon which said substrate rests.
20. A computer readable medium containing program instructions for execution on a control system, which when executed by the control system, cause a plasma processing system to perform the steps of: disposing a substrate having a SiCOH-containing layer and silicon oxide layer in a plasma processing system; introducing a process composition comprising nitrogen trifluoride (NF3); forming plasma from said process composition in said plasma processing system; and exposing said substrate to said plasma in order to etch said SiCOH- containing layer with selectivity to said silicon oxide layer.
PCT/US2008/071829 2007-08-10 2008-07-31 Method for etching low-k material using oxide hard mask WO2009023445A1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/836,957 US7947609B2 (en) 2007-08-10 2007-08-10 Method for etching low-k material using an oxide hard mask
US11/836,957 2007-08-10

Publications (1)

Publication Number Publication Date
WO2009023445A1 true WO2009023445A1 (en) 2009-02-19

Family

ID=40346953

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2008/071829 WO2009023445A1 (en) 2007-08-10 2008-07-31 Method for etching low-k material using oxide hard mask

Country Status (3)

Country Link
US (1) US7947609B2 (en)
TW (1) TWI380403B (en)
WO (1) WO2009023445A1 (en)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100105157A1 (en) * 2008-10-27 2010-04-29 United Microelectronics Corp. Process of micro-display
US8435901B2 (en) * 2010-06-11 2013-05-07 Tokyo Electron Limited Method of selectively etching an insulation stack for a metal interconnect
US9310552B2 (en) 2012-06-15 2016-04-12 Micron Technology, Inc. Methods and apparatus providing thermal isolation of photonic devices
CN102881639A (en) * 2012-09-17 2013-01-16 上海华力微电子有限公司 Method for improving KINK defect in dual damascene process
JP6096470B2 (en) * 2012-10-29 2017-03-15 東京エレクトロン株式会社 Plasma processing method and plasma processing apparatus
US20150076707A1 (en) * 2013-09-18 2015-03-19 Stmicroelectronics, Inc. Integrated circuit via structure and method of fabrication
US9934984B2 (en) * 2015-09-09 2018-04-03 International Business Machines Corporation Hydrofluorocarbon gas-assisted plasma etch for interconnect fabrication
US10607922B1 (en) * 2018-10-24 2020-03-31 International Business Machines Corporation Controlling via critical dimension during fabrication of a semiconductor wafer
KR20220146239A (en) 2021-04-23 2022-11-01 삼성전자주식회사 Semiconductor Device Comprising hard mask structure

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6103619A (en) * 1999-10-08 2000-08-15 United Microelectronics Corp. Method of forming a dual damascene structure on a semiconductor wafer
US6949203B2 (en) * 1999-12-28 2005-09-27 Applied Materials, Inc. System level in-situ integrated dielectric etch process particularly useful for copper dual damascene
US20070004214A1 (en) * 2005-06-30 2007-01-04 Matthias Schaller Technique for reducing etch damage during the formation of vias and trenches in interlayer dielectrics
US20070032055A1 (en) * 2005-08-08 2007-02-08 International Business Machines Corporation Dry etchback of interconnect contacts

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4421349A (en) * 1981-12-28 1983-12-20 The Boeing Company Cowling latch
JP2002261092A (en) 2001-02-27 2002-09-13 Nec Corp Manufacturing method of semiconductor device
US7256134B2 (en) * 2003-08-01 2007-08-14 Applied Materials, Inc. Selective etching of carbon-doped low-k dielectrics
US7252311B2 (en) * 2003-09-17 2007-08-07 Hartwell Corporation Motor driven latch
JP2005191254A (en) 2003-12-25 2005-07-14 Fujitsu Ltd Method of manufacturing semiconductor device
US7480990B2 (en) 2006-01-06 2009-01-27 International Business Machines Corporation Method of making conductor contacts having enhanced reliability
US20070222076A1 (en) 2006-03-21 2007-09-27 Masanaga Fukasawa Single or dual damascene structure reducing or eliminating the formation of micro-trenches arising from lithographic misalignment
US20070232048A1 (en) 2006-03-31 2007-10-04 Koji Miyata Damascene interconnection having a SiCOH low k layer
US20070243714A1 (en) 2006-04-18 2007-10-18 Applied Materials, Inc. Method of controlling silicon-containing polymer build up during etching by using a periodic cleaning step
US7618889B2 (en) 2006-07-18 2009-11-17 Applied Materials, Inc. Dual damascene fabrication with low k materials
US7622390B2 (en) * 2007-06-15 2009-11-24 Tokyo Electron Limited Method for treating a dielectric film to reduce damage

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6103619A (en) * 1999-10-08 2000-08-15 United Microelectronics Corp. Method of forming a dual damascene structure on a semiconductor wafer
US6949203B2 (en) * 1999-12-28 2005-09-27 Applied Materials, Inc. System level in-situ integrated dielectric etch process particularly useful for copper dual damascene
US20070004214A1 (en) * 2005-06-30 2007-01-04 Matthias Schaller Technique for reducing etch damage during the formation of vias and trenches in interlayer dielectrics
US20070032055A1 (en) * 2005-08-08 2007-02-08 International Business Machines Corporation Dry etchback of interconnect contacts

Also Published As

Publication number Publication date
TW200913145A (en) 2009-03-16
US7947609B2 (en) 2011-05-24
US20090042398A1 (en) 2009-02-12
TWI380403B (en) 2012-12-21

Similar Documents

Publication Publication Date Title
US8809196B2 (en) Method of etching a thin film using pressure modulation
US7888267B2 (en) Method for etching silicon-containing ARC layer with reduced CD bias
JP6280030B2 (en) Etching process to control pattern critical dimension and integrity of multilayer masks
US8080473B2 (en) Method for metallizing a pattern in a dielectric film
US8236700B2 (en) Method for patterning an ARC layer using SF6 and a hydrocarbon gas
US7998872B2 (en) Method for etching a silicon-containing ARC layer to reduce roughness and CD
US7858270B2 (en) Method for etching using a multi-layer mask
US8859430B2 (en) Sidewall protection of low-K material during etching and ashing
US8252192B2 (en) Method of pattern etching a dielectric film while removing a mask layer
US7947609B2 (en) Method for etching low-k material using an oxide hard mask
US8551877B2 (en) Sidewall and chamfer protection during hard mask removal for interconnect patterning
US7637269B1 (en) Low damage method for ashing a substrate using CO2/CO-based process
US7935640B2 (en) Method for forming a damascene structure
JP2008244479A (en) Method and system for dry-etching metal nitride
WO2011156253A1 (en) Method of selectively etching an insulation stack for a metal interconnect
US20100216310A1 (en) Process for etching anti-reflective coating to improve roughness, selectivity and CD shrink
US20090246713A1 (en) Oxygen-containing plasma flash process for reduced micro-loading effect and cd bias
US7622390B2 (en) Method for treating a dielectric film to reduce damage

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 08782580

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 08782580

Country of ref document: EP

Kind code of ref document: A1