WO2009075959A1 - Method for forming high density patterns - Google Patents

Method for forming high density patterns Download PDF

Info

Publication number
WO2009075959A1
WO2009075959A1 PCT/US2008/081474 US2008081474W WO2009075959A1 WO 2009075959 A1 WO2009075959 A1 WO 2009075959A1 US 2008081474 W US2008081474 W US 2008081474W WO 2009075959 A1 WO2009075959 A1 WO 2009075959A1
Authority
WO
WIPO (PCT)
Prior art keywords
pillars
holes
pattern
forming
spacer material
Prior art date
Application number
PCT/US2008/081474
Other languages
French (fr)
Other versions
WO2009075959A9 (en
Inventor
Baosuo Zhou
Gurtej S. Sandhu
Ardavan Niroomand
Original Assignee
Micron Technology, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology, Inc. filed Critical Micron Technology, Inc.
Priority to CN200880119291.9A priority Critical patent/CN101889326B/en
Priority to EP08858925.4A priority patent/EP2232530A4/en
Publication of WO2009075959A1 publication Critical patent/WO2009075959A1/en
Publication of WO2009075959A9 publication Critical patent/WO2009075959A9/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches

Definitions

  • Embodiments of the invention relate to semiconductor processing, and more particularly to masking techniques.
  • Figure IA is a flow chart illustrating a process in accordance with one or more embodiments of the invention.
  • Figure IB is another flow chart illustrating a process in accordance with one or more embodiments of the invention.
  • Figure 2 illustrates a cross-sectional side view of a partially formed integrated circuit in accordance with one or more embodiments of the invention.
  • Figure 2A illustrates a top view of a partially formed integrated circuit in accordance with one or more embodiments of the invention.
  • Figure 2B illustrates a cross-sectional side view of the partially formed integrated circuit of Figure 2A along the sectional line 2B shown in Figure 2A.
  • Figure 2A after the pattern of pillars has been trimmed in accordance with one or more embodiments of the invention.
  • Figure 3B illustrates a cross-sectional side view of the partially formed integrated circuit of Figure 3 A along the sectional line 3B shown in Figure 3 A.
  • Figure 4A illustrates a top view of the partially formed integrated circuit of Figure 3A after transferring the pattern of pillars to underlying masking layers in accordance with one or more embodiments of the invention.
  • Figure 4B illustrates a cross-sectional side view of the partially formed integrated circuit of Figure 4A along the sectional line 4B shown in Figure 4A.
  • Figure 5A illustrates a top view of the partially formed integrated circuit of Figure 4A after one of the masking layers has been removed in accordance with one or more embodiments of the invention.
  • Figure 5B illustrates a cross-sectional side view of the partially formed integrated circuit of Figure 5A along the sectional line 5B shown in Figure 5A.
  • Figure 6A illustrates a top view of the partially formed integrated circuit of Figure 5A during deposition of a spacer material on pillars in accordance with one or more embodiments of the invention.
  • Figure 6B illustrates a cross-sectional side view of the partially formed integrated circuit of Figure 6A along the sectional line 6B shown in Figure 6A.
  • Figure 7A illustrates a top view of the partially formed integrated circuit of Figure 6A after deposition of the spacer material in accordance with one or more embodiments of the invention.
  • Figure 7B illustrates a cross-sectional side view of the partially formed integrated circuit of Figure 7A along the sectional line 7B shown in Figure 7A.
  • Figure 8A illustrates a top view of the partially formed integrated circuit of Figure 7A after etching the spacer material in accordance with one or more embodiments of the invention.
  • Figure 8B illustrates a cross-sectional side view of the partially formed integrated circuit of Figure 8A along the sectional line 8B shown in Figure 8A.
  • Figure 8A after further etching the spacer material in accordance with one or more embodiments of the invention.
  • Figure 9B illustrates a cross-sectional side view of the partially formed integrated circuit of Figure 9A along the sectional line 9B shown in Figure 9A.
  • Figure 1OA illustrates a top view of the partially formed integrated circuit of Figure 9A after etching the pillars in accordance with one or more embodiments of the invention.
  • Figure 1OB illustrates a cross-sectional side view of the partially formed integrated circuit of Figure 1 OA along the sectional line 1OB shown in Figure 1 OA.
  • Figure HA illustrates a top view of the partially formed integrated circuit of Figure 1OA after forming plugs in accordance with one or more embodiments of the invention.
  • Figure HB illustrates a cross-sectional side view of the partially formed integrated circuit of Figure 11 A along the sectional line 1 IB shown in Figure 1 IA.
  • Figure 12A illustrates a top view of the partially formed integrated circuit of Figure 1 IA after removing the spacer material in accordance with one or more embodiments of the invention.
  • Figure ⁇ 2B illustrates a cross-sectional side view of the partially formed integrated circuit of Figure 12A along the sectional line 12B shown in Figure 12A.
  • Embodiments described herein provide methods of forming patterns of isolated features having a high density.
  • a method for fo ⁇ ning an integrated circuit with a pattern of features having a final density of features that is greater than a starting density of features in the integrated circuit by a multiple of two or more.
  • the method can include forming a pattern of isolated pillars having a density X.
  • the method can further include forming spacers around the pillars, such as by blanket depositing spacer material on and around the pillars and then isotropically etching the spacer materials to form a pattern of holes having a density at least about X.
  • the pillars can be In some embodiments, plugs can be formed in the pattern of holes in the mask, such as by epitaxial deposition on the substrate, in order to provide a pattern of pillars having a density at least 2X. In other embodiments, the pattern of holes in the mask can be etched into the substrate to provide a pattern of holes in the substrate.
  • FIG. IA illustrates a general sequence of process steps according to some embodiments of the invention.
  • a plurality of pillars are formed on a substrate, such as by etching into a layer or stack of layers formed over the substrate or by forming material over a substrate in a pattern that defines a plurality of pillars.
  • the pillars can be formed by photolithography, by selectively exposing photoresist to light and then developing the photoresist to leave a pattern of pillars formed by the photoresist.
  • "forming" a structure includes performing steps to make the structure or providing the structure already premade.
  • step 3 spacer material is formed on and around the pillars to fill spaces between the pillars while leaving a pattern of openings between the pillars.
  • step 5 the spacer material is etched to form a pattern of holes completely open to an underlying material, the holes having a density at least as great as the density of the pattern of pillars.
  • step 7 the pillars are removed to form further holes, thus providing a pattern of holes with a density at least twice as great as the pattern of pillars that were previously formed on the substrate.
  • Figures 1B-12B illustrate schematically a detailed sequence of process steps according to some embodiments of the invention.
  • a substrate 100 is provided and a first hard mask layer 3 10 is formed thereover.
  • Figure 2 illustrates a partially formed integrated circuit 200 after step 12 has been carried out.
  • the substrate 100 may include one or more of a variety of suitable workpieces for semiconductor processing.
  • the substrate can include a silicon wafer.
  • the first hard mask layer 1 10 includes amorphous carbon, e.g., transparent carbon, which has been found to have excellent etch selectivity with other materials of the illustrated imaging or masking stack. Methods for forming amorphous carbon are disclosed in A. Helmbold, D.
  • a second hard mask layer 112 is also formed over the first hard mask layer 110 to protect the first hard mask layer 110 during etching in later steps and/or to enhance the accuracy of forming patterns by photolithography.
  • the second hard mask layer 112 includes an anti-reflective coating (ARC), such as DARC or BARC/DARC, which can facilitate photolithography by preventing undesired light reflections.
  • ARC anti-reflective coating
  • a selectively definable layer 120 is formed on the second hard mask layer 112.
  • the selectively definable layer 120 can be formed using a photoresist in accordance with well-known processes for providing masks in semiconductor fabrication.
  • the photoresist can be any photoresist compatible with 157 nm, 193 nm. 248 nm or 365 nm wavelength systems, 193 nm wavelength immersion systems, extreme ultraviolet systems (including 13.7 nm wavelength systems) or electron beam lithographic systems.
  • maskless lithography, or maskless photolithography can be used to define the selectively definable layer 120.
  • photoresist materials include argon fluoride (ArF) sensitive photoresist, i.e., photoresist suitable for use with an ArF light source, and krypton fluoride (KrF) sensitive photoresist, i.e., photoresist suitable for use with a KrF light source.
  • ArF photoresists are preferably used with photolithography systems utilizing relatively short wavelength light, e.g., 193 nm.
  • KrF photoresists are preferably used with longer wavelength photolithography systems, such as 248 nm systems.
  • the selectively definable layer 120 and any subsequent resist layers can be formed of a resist that can be patterned by nano-imprint lithography, e.g. , by using a mold or mechanical force to pattern the resist.
  • Figures 2A and 2B illustrate a partially formed integrated circuit 200 after step 12 has been carried out.
  • the selectively definable layer 120 can include a mask pattern, the pattern including a plurality of pillars 121 having a substantially circular cross-section.
  • the width of the pillars 121 in the selectively definable layer 120 is A.
  • the pillars 121 can be patterned using a photolithographic technique.
  • A can be substantially equal to the pillars 121 can be formed with width A larger than the minimum formable feature size formed by photolithography and subsequently trimmed, in order to enhance the accuracy of the patterns formed by photolithography. It will be appreciated that photolithographic techniques typically can more easily and accurately form features having sizes above the size limit of the technique.
  • the distance between centers of nearest neighboring pillars 121 is B.
  • B is substantially equal to twice the width A, which has advantages for forming a pattern of holes arranged in rows and columns as described herein.
  • the pillars 121 of the selectively definable layer 120 are trimmed during the trimming step 14 in order to achieve the dimensions C, D, and E as described hereinbelow.
  • the mask pattern shown in Figures 2 A and 2B includes pillars 121 with their centers located at the corner points of a square, other patterns are also possible, as will be described more fully hereinbelow.
  • FIGs 3A and 3B illustrate the partially formed integrated circuit 200 after step 14 of Figure IB has been carried out.
  • the selectively definable layer 120 is trimmed, such as by subjecting the selectively definable layer 120 to CVCl 2 or (VHBr plasma.
  • Figure 3B shows that after the trimming step 14, the pillars 121 of the selectively definable layer 120 have a width C, which is less than the width A,
  • the trimming step 14 can advantageously provide a feature size that is less than the minimum feature size formable using the lithographic technique used to pattern the selectively definable layer 120.
  • the width C is substantially equal to
  • Figure 3B also shows that after the trimming step 14, the distance between two distant pillars 121 of the selectively definable layer 120, such as between pillars 121 a and 121 c, is E.
  • the distance E is substantially equal to neighboring pillars 121 of the selectively definable layer 120, such as between pillars 121a and 121b, is D.
  • the distance D is substantially equal to
  • Y is used herein as a multiplier having a dimension of distance to clarify the relationship between various dimensions in the pattern of one or more embodiments.
  • C is substantially equal to in Figures 3A and 3B, Y can be any real number greater than zero, including the minimum feature size formable using known lithographic techniques, and does not necessarily bear any relationship to the width A of the pillars 121 after step 12.
  • Selectively definable layers 120 having a pattern of these dimensions can produce a pattern of spacer-defined holes in later steps that is advantageously aligned with the pattern of pillars 121 in the selectively definable layer 120.
  • the pattern of the selectively definable layer 120 shown in Figure 3 A can be described as a set of pillars 121 formed in columns and rows, in which the leftmost pillar 121a is positioned in a first column and a second row, the uppermost pillar 121 b is positioned in the second column and the first row, the lowermost pillar 121d is positioned in the second column and a third row. and the rightmost pillar 121c is positioned in the third column and the second row.
  • Figure 8A 1 shows a pattern of holes 140 with a hole 140a positioned in the first column and the first row, another hole 14Od positioned in the first column and the third row, another hole 140c positioned in the second column and the second row, another hole 140b positioned in the third column and the first row, and another hole 14Oe positioned in the third column and the third row.
  • -1- definable layer 120 is transferred to the second hard mask layer 1 12, such as by anisotropically etching the second hard mask layer 112 through the selectively definable layer 120.
  • FIGs 4A and 4B illustrate the partially formed integrated circuit 200 after step 20 of Figure IB has been carried out.
  • step 20 pillars 122 are formed in the first hard mask layer 1 10 by anisotropically etching the first hard mask layer U O through the selectively definable layer 120 and the second hard mask layer 1 12.
  • the pillars 122 formed in step 20 can have substantially the same pattern as the pattern in the selectively definable layer 120.
  • the selectively definable layer 120 can be removed during or after the etching step 20.
  • the second hard mask layer 1 12 may be removed in step 22, such as by carrying out a wet strip etch.
  • the selectively definable layer 120 is removed by the same etch used to define pillars 122 in the first hard mask layer 1 10.
  • Figures 5A and 5B illustrate the partially formed integrated circuit 200 after removing the selectively definable layer 120.
  • spacer material 130 ( Figures 6A. 6B) is deposited on the pillars 122.
  • Figures 6A and 6B illustrate the partially formed integrated circuit 200 while step 30 of Figure IB is being carried out.
  • the spacer material can include an insulating material, such as an oxide, e.g.. silicon oxide, particularly a material that is selectively etchable with respect to the material of the pillars 122 and other exposed surfaces. Examples of other spacer materials include silicon nitride, AI2O3, TiN, etc.
  • depositing step 30 includes uniformly depositing spacer material 130 on the pillars 122 and the substrate 100. such as by blanket depositing the spacer material 130 by chemical vapor deposition.
  • Figures 6A and 6B show that as spacer material 130 is deposited on the pillars 122, the spacer material 130 fills a space between neighboring pillars 122 when the spacer material 130 forms a layer having a thickness F.
  • the thickness F is substantially equal to
  • spacer material 130 continues to be deposited beyond filling the space between the nearest neighboring pillars 122, such that the spacer material 130 surrounding the nearest neighboring pillars 122 converge and form voids with substantially circular cross-sections.
  • the rate of deposition at the corners formed by the convergence is greater than at other parts between the pillars 122, causing the corners of the open space between the pillars 122 to become rounded.
  • FIGs 7A and 7B illustrate the partially formed integrated circuit 200 after depositing step 30 has been carried out. As shown in Figures 7A and 7B, sufficient spacer material 130 has been deposited to form holes 140 with a substantially circular cross- section. The holes 140 occur in a pattern that is aligned with the pattern of the pillars 122, as described above, and the density of the holes is greater than the density of the pillars 122 in the illustrated portion of the partially formed integrated circuit.
  • FIG. 8A and 8B illustrate the partially formed integrated circuit 200 after step 32 of Figure IB has been carried out. As shown in Figure 8B, after any etching to expand the holes 140, the layer of the spacer material 130 has a thickness G and the holes 140 have been expanded to form holes 141 having a width H.
  • the width H and the thickness G are both substantially equal to the width C of the pillars 122, advantageously providing a pattern of holes 141 and pillars 122 of substantially the same size. Steps 30 and 32 of Figure IB can be repeated as desired in order to achieve holes 141 of the desired shapes and sizes.
  • step 34 of Figure IB spacer material 130 ( Figures 9A, 9B) is anisotropically etched to expose the upper surfaces of the pillars 122 and the substrate 100.
  • Figures 9A and 9B illustrate the partially formed integrated circuit 200 after step 34 of Figure material 130 between the holes 141 and the pillars 122 remain substantially the same as before step 34.
  • the order of steps 32 and 34 can be reversed, such that the spacer material 130 is anisotropically etched before being trimmed by, e.g., an isotropic etch.
  • holes having different widths may be formed.
  • step 40 of Figure IB the pillars 122 ( Figures 9A, 9B) are etched, such as by selectively etching the first hard mask layer 110 relative to the spacer material 130 to remove the pillars 122.
  • Figures 1OA and 1OB illustrate the partially formed integrated circuit 200 after step 40 of Figure IB has been carried out.
  • a pattern of holes 141 has been achieved that has a density greater than or equal to about twice the density of the features that were formed in the selectively definable layer 120.
  • the holes 141 have a smaller feature size than the pillars 121 first formed by photolithography in the selectively definable layer 120, and the holes 141 occur in a pattern that is aligned with the pattern of pillars 121 in the selectively definable layer 120.
  • plugs 150 are formed in the holes 141.
  • Figures HA and HB illustrate the partially formed integrated circuit 200 after step 50 of Figure IB has been carried out.
  • Plugs 150 can be formed of the same material as the substrate 100.
  • the spacer material 130 is chosen to be selectively etchable relative to the material forming the plugs 150.
  • the plugs 150 are formed of polysilicon and the spacer material 130 is formed of silicon oxide.
  • Depositing step 50 can be carried out in accordance with well-known deposition processes, including but not limited to chemical vapor deposition (CVD), plasma enhanced chemical vapor deposition (PECVD), or spin coating.
  • plugs 150 ( Figures 1 1 A and 1 IB) can be formed by epitaxial growth.
  • step 60 the spacer material 130 ( Figures 1 IA, HB) is removed, such as by selectively etching the spacer material 130.
  • the spacer material 130 In processes using spin coating, CVD or PECVD in step 50 to deposit the plugs 150, it may be necessary to first planarize the surface, such as by a chemical mechanical polishing process, or perform a plug material etch back process in order to expose the spacer material 130.
  • step 60 After step 60 has been carried out.
  • a pattern of plugs 150 has been formed on the substrate 100 with a density greater than or equal to about twice the density of the pillars that were formed on the selectively definable layer 120.
  • the plugs 150 have a smaller feature size than the pillars 121 first formed on the selectively definable layer 120, and the plugs 150 occur in a pattern that is aligned with the pattern of pillars 121 in the selectively definable layer 120.
  • the method described above can provide a pattern of plugs with a density greater than or equal to about twice the density of the features that were formed on the selectively definable layer 120
  • the method may also be repeated to produce a pattern with a density of features that is greater than or equal to about four times the density of the original pattern.
  • the method may then be repeated to achieve a pattern with a density of features that is greater than or equal to about eight times the density of the original pattern, and so on until the desired density is reached.
  • the plugs 150 or pillars patterned in the substrate 100 using the layer 130 (Figs. 1OA and 10B) as a mask, can be used as the pillars 122 in subsequent repeats of the method.
  • steps 30-60 may be repeated.
  • isolated features having a density 2 n can be formed, where n is the number of times the method of Figs. IA and IB is repeated.
  • holes 141 and pillars 122 have the same size in the method described above, it may be desirable in some applications to form holes that are larger or smaller than the pillars. Accordingly, the thickness of the spacer material can be adjusted to achieve the desired result.
  • the method described above provides pillars and holes with a generally circular cross section
  • other shapes are also possible.
  • the pillars and holes can have a cross section that is generally in the shape of a square, a rectangle, or an ellipse.
  • holes 140 in a pattern that is aligned with the pattern of the pillars 122. It is also possible to place the holes in other locations relative to the pillars by beginning with a pattern of pillars other than the one of a square.
  • One example of another pattern that can be used is a pattern of three pillars, which can be used to form a hole between the three pillars.
  • the embodiments described above can be used to selectively create patterns with higher density in some regions of the integrated circuit but not in other regions.
  • features can be spaced apart at a distance sufficiently small that it can be filled by the thickness of the spacer material.
  • features can be spaced apart at too great a distance to be filled by the spacer material and/or selectively a protective mask can be used to prevent transfer of a pattern formed by the spacer material to the substrate 110, or to prevent deposition in the same openings formed by the spacer material 130. In this way, a high density pattern can be selectively provided in some regions of the integrated circuit but not in others.
  • an imaging stack including photoresist, an ARC, and amorphous carbon can be advantageously be applied to facilitate deposition of the spacer material. Temperatures typically used for chemical vapor deposition of the spacer material may undesirably deform photoresist, thus, amorphous carbon is used to form the pillars upon which the spacer material is deposited. In other embodiments where low temperature deposition processes (e.g., atomic layer deposition) are used to deposit the spacer material, the ARC and amorphous carbon layers may be omitted and the spacer material can be deposited on pillars formed of photoresist.
  • low temperature deposition processes e.g., atomic layer deposition
  • a method is provided. Such a method might include, for example, providing a substrate and forming a first set of pillars on the substrate. The method can further include depositing spacer material on the first set of pillars to form a first pattern of holes, wherein at least one of the holes is located between pillars of the first set and wherein, after depositing, spacer material fills a space between a first pillar of the first set and a nearest neighboring pillar of the first set.
  • a method is provided.
  • the method can include providing a substrate and forming a plurality of pillars on the substrate, the pillars having a a pattern of holes on a level of the pillars, the holes having a density at least X.
  • a method is provided.
  • the method can include providing a substrate and forming a set of pillars on the substrate, wherein the pillars have a width of about and wherein a first pillar is separated from a second pillar by a distance of about and wherein the first pillar is separated from a third pillar by a distance of about
  • the method can further include depositing material on the set of pillars.
  • the method can further include etching the material to form a pattern of holes, wherein the pattern comprises a hole between the first pillar and the third pillar.
  • a method in other embodiments, can include providing a set of pillars on a substrate, the pillars arranged in two or more rows and two or more columns.
  • the method can further include blanket depositing spacer material on the set of pillars to form a pattern of holes adjacent the pillars.
  • the method can further include isotropically etching the spacer material to enlarge the width of the holes.
  • the method can further include anisotropically etching the spacer material to expose the pillars.

Abstract

Methods are disclosed, such as those involving increasing the density of isolated features in an integrated circuit (200). In one or more embodiments, a method is provided for forming an integrated circuit (200) with a pattern of isolated features having a final density of isolated features that is greater than a starting density of isolated features in the integrated circuit (200) by a multiple of two or more. The method can include forming a pattern of pillars (122) having a density X, and forming a pattern of holes (140) amongst the pillars (122), the holes (140) having a density at least X. The pillars (122) can be selectively removed to form a pattern of holes (141) having a density at least 2X. In some embodiments, plugs (150) can be formed in the pattern of holes (141). such as by epitaxial deposition on the substrate (300). in order to provide a pattern of pillars having a density 2X. In other embodiments, the pattern of holes (141) can be transferred to the substrate (100) by etching.

Description

METHOD FOR FORMING HIGH DENSITY PATTERNS
BACKGROUND OF THE INVENTION Field of the Invention
[0001] Embodiments of the invention relate to semiconductor processing, and more particularly to masking techniques.
Description of the Related Art
[0002] There is a constant demand for faster and smaller integrated circuits, faster and smaller integrated circuits may be made by reducing the sizes and separation distances between the individual elements or electronic devices forming an integrated circuit. This process of increasing the density of circuit elements across a substrate is typically referred to as "scaling." As a result of the demand for faster and smaller integrated circuits, there is a constant need for methods of scaling to form isolated features with a high density.
BRIEF DESCRIPTION OF THE DRAWINGS
[0003] The appended drawings are schematic, not necessarily drawn to scale, and are meant to illustrate and not to limit embodiments of the invention.
[0004] Figure IA is a flow chart illustrating a process in accordance with one or more embodiments of the invention.
[0005] Figure IB is another flow chart illustrating a process in accordance with one or more embodiments of the invention.
[0006] Figure 2 illustrates a cross-sectional side view of a partially formed integrated circuit in accordance with one or more embodiments of the invention.
[0007] Figure 2A illustrates a top view of a partially formed integrated circuit in accordance with one or more embodiments of the invention.
[0008] Figure 2B illustrates a cross-sectional side view of the partially formed integrated circuit of Figure 2A along the sectional line 2B shown in Figure 2A. Figure 2A after the pattern of pillars has been trimmed in accordance with one or more embodiments of the invention.
[0010] Figure 3B illustrates a cross-sectional side view of the partially formed integrated circuit of Figure 3 A along the sectional line 3B shown in Figure 3 A.
10011] Figure 4A illustrates a top view of the partially formed integrated circuit of Figure 3A after transferring the pattern of pillars to underlying masking layers in accordance with one or more embodiments of the invention.
[0012] Figure 4B illustrates a cross-sectional side view of the partially formed integrated circuit of Figure 4A along the sectional line 4B shown in Figure 4A.
[0013] Figure 5A illustrates a top view of the partially formed integrated circuit of Figure 4A after one of the masking layers has been removed in accordance with one or more embodiments of the invention.
[0014] Figure 5B illustrates a cross-sectional side view of the partially formed integrated circuit of Figure 5A along the sectional line 5B shown in Figure 5A.
[0015] Figure 6A illustrates a top view of the partially formed integrated circuit of Figure 5A during deposition of a spacer material on pillars in accordance with one or more embodiments of the invention.
J0016] Figure 6B illustrates a cross-sectional side view of the partially formed integrated circuit of Figure 6A along the sectional line 6B shown in Figure 6A.
[0017] Figure 7A illustrates a top view of the partially formed integrated circuit of Figure 6A after deposition of the spacer material in accordance with one or more embodiments of the invention.
[0018] Figure 7B illustrates a cross-sectional side view of the partially formed integrated circuit of Figure 7A along the sectional line 7B shown in Figure 7A.
[0019] Figure 8A illustrates a top view of the partially formed integrated circuit of Figure 7A after etching the spacer material in accordance with one or more embodiments of the invention.
[0020] Figure 8B illustrates a cross-sectional side view of the partially formed integrated circuit of Figure 8A along the sectional line 8B shown in Figure 8A. Figure 8A after further etching the spacer material in accordance with one or more embodiments of the invention.
[0022] Figure 9B illustrates a cross-sectional side view of the partially formed integrated circuit of Figure 9A along the sectional line 9B shown in Figure 9A.
[0023] Figure 1OA illustrates a top view of the partially formed integrated circuit of Figure 9A after etching the pillars in accordance with one or more embodiments of the invention.
[0024] Figure 1OB illustrates a cross-sectional side view of the partially formed integrated circuit of Figure 1 OA along the sectional line 1OB shown in Figure 1 OA.
[0025] Figure HA illustrates a top view of the partially formed integrated circuit of Figure 1OA after forming plugs in accordance with one or more embodiments of the invention.
[0026] Figure HB illustrates a cross-sectional side view of the partially formed integrated circuit of Figure 11 A along the sectional line 1 IB shown in Figure 1 IA.
[0027] Figure 12A illustrates a top view of the partially formed integrated circuit of Figure 1 IA after removing the spacer material in accordance with one or more embodiments of the invention.
[0028] Figure Ϊ2B illustrates a cross-sectional side view of the partially formed integrated circuit of Figure 12A along the sectional line 12B shown in Figure 12A.
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT [0029] Embodiments described herein provide methods of forming patterns of isolated features having a high density. In one or more embodiments, a method is provided for foπning an integrated circuit with a pattern of features having a final density of features that is greater than a starting density of features in the integrated circuit by a multiple of two or more. The method can include forming a pattern of isolated pillars having a density X. The method can further include forming spacers around the pillars, such as by blanket depositing spacer material on and around the pillars and then isotropically etching the spacer materials to form a pattern of holes having a density at least about X. The pillars can be In some embodiments, plugs can be formed in the pattern of holes in the mask, such as by epitaxial deposition on the substrate, in order to provide a pattern of pillars having a density at least 2X. In other embodiments, the pattern of holes in the mask can be etched into the substrate to provide a pattern of holes in the substrate.
[0030] Reference will now be made to the figures, in which like numerals refer to like parts throughout.
(0031] Figure IA illustrates a general sequence of process steps according to some embodiments of the invention. In step 1 of Figure IA, a plurality of pillars are formed on a substrate, such as by etching into a layer or stack of layers formed over the substrate or by forming material over a substrate in a pattern that defines a plurality of pillars. For example, the pillars can be formed by photolithography, by selectively exposing photoresist to light and then developing the photoresist to leave a pattern of pillars formed by the photoresist. As used herein, "forming" a structure includes performing steps to make the structure or providing the structure already premade. In step 3, spacer material is formed on and around the pillars to fill spaces between the pillars while leaving a pattern of openings between the pillars. In step 5, the spacer material is etched to form a pattern of holes completely open to an underlying material, the holes having a density at least as great as the density of the pattern of pillars. In step 7, the pillars are removed to form further holes, thus providing a pattern of holes with a density at least twice as great as the pattern of pillars that were previously formed on the substrate.
[0032] Figures 1B-12B illustrate schematically a detailed sequence of process steps according to some embodiments of the invention. In step 10, a substrate 100 is provided and a first hard mask layer 3 10 is formed thereover. (Figure 2 illustrates a partially formed integrated circuit 200 after step 12 has been carried out.) The substrate 100 may include one or more of a variety of suitable workpieces for semiconductor processing. For example, the substrate can include a silicon wafer. In one or more embodiments, the first hard mask layer 1 10 includes amorphous carbon, e.g., transparent carbon, which has been found to have excellent etch selectivity with other materials of the illustrated imaging or masking stack. Methods for forming amorphous carbon are disclosed in A. Helmbold, D. 2006/0211260, published September 2I5 2006, entitled "PITCH REDUCED PATTERNS RELATIVE TO PHOTOLITHOGRAPHY FEATURES," the entire disclosures of which are hereby incorporated herein by reference. In the illustrated embodiment, a second hard mask layer 112 is also formed over the first hard mask layer 110 to protect the first hard mask layer 110 during etching in later steps and/or to enhance the accuracy of forming patterns by photolithography. In one or more embodiments, the second hard mask layer 112 includes an anti-reflective coating (ARC), such as DARC or BARC/DARC, which can facilitate photolithography by preventing undesired light reflections.
[0033] In step 12, a selectively definable layer 120 is formed on the second hard mask layer 112. The selectively definable layer 120 can be formed using a photoresist in accordance with well-known processes for providing masks in semiconductor fabrication. For example, the photoresist can be any photoresist compatible with 157 nm, 193 nm. 248 nm or 365 nm wavelength systems, 193 nm wavelength immersion systems, extreme ultraviolet systems (including 13.7 nm wavelength systems) or electron beam lithographic systems. In addition, maskless lithography, or maskless photolithography, can be used to define the selectively definable layer 120. Examples of preferred photoresist materials include argon fluoride (ArF) sensitive photoresist, i.e., photoresist suitable for use with an ArF light source, and krypton fluoride (KrF) sensitive photoresist, i.e., photoresist suitable for use with a KrF light source. ArF photoresists are preferably used with photolithography systems utilizing relatively short wavelength light, e.g., 193 nm. KrF photoresists are preferably used with longer wavelength photolithography systems, such as 248 nm systems. In other embodiments, the selectively definable layer 120 and any subsequent resist layers can be formed of a resist that can be patterned by nano-imprint lithography, e.g. , by using a mold or mechanical force to pattern the resist. Figures 2A and 2B illustrate a partially formed integrated circuit 200 after step 12 has been carried out. As shown in Figures 2A and 2B, the selectively definable layer 120 can include a mask pattern, the pattern including a plurality of pillars 121 having a substantially circular cross-section. The width of the pillars 121 in the selectively definable layer 120 is A. The pillars 121 can be patterned using a photolithographic technique. In one or more embodiments, A can be substantially equal to the pillars 121 can be formed with width A larger than the minimum formable feature size formed by photolithography and subsequently trimmed, in order to enhance the accuracy of the patterns formed by photolithography. It will be appreciated that photolithographic techniques typically can more easily and accurately form features having sizes above the size limit of the technique.
[0034] As shown in Figure 2A, the distance between centers of nearest neighboring pillars 121, such as between pillars 121 a and 121b, is B. In the illustrated embodiment, B is substantially equal to twice the width A, which has advantages for forming a pattern of holes arranged in rows and columns as described herein. In embodiments where the width A is greater than one half of the distance B, the pillars 121 of the selectively definable layer 120 are trimmed during the trimming step 14 in order to achieve the dimensions C, D, and E as described hereinbelow. Although the mask pattern shown in Figures 2 A and 2B includes pillars 121 with their centers located at the corner points of a square, other patterns are also possible, as will be described more fully hereinbelow.
[0035] Figures 3A and 3B illustrate the partially formed integrated circuit 200 after step 14 of Figure IB has been carried out. In step 14. the selectively definable layer 120 is trimmed, such as by subjecting the selectively definable layer 120 to CVCl2 or (VHBr plasma. Figure 3B shows that after the trimming step 14, the pillars 121 of the selectively definable layer 120 have a width C, which is less than the width A, Thus, the trimming step 14 can advantageously provide a feature size that is less than the minimum feature size formable using the lithographic technique used to pattern the selectively definable layer 120. In one or more embodiments, the width C is substantially equal to
Figure imgf000008_0001
[0036] Figure 3B also shows that after the trimming step 14, the distance between two distant pillars 121 of the selectively definable layer 120, such as between pillars 121 a and 121 c, is E. In one or more embodiments, the distance E is substantially equal to
Figure imgf000008_0002
neighboring pillars 121 of the selectively definable layer 120, such as between pillars 121a and 121b, is D. In one or more embodiments, the distance D is substantially equal to
Figure imgf000009_0001
Y is used herein as a multiplier having a dimension of distance to clarify the relationship between various dimensions in the pattern of one or more embodiments. Although C is substantially equal to
Figure imgf000009_0002
in Figures 3A and 3B, Y can be any real number greater than zero, including the minimum feature size formable using known lithographic techniques, and does not necessarily bear any relationship to the width A of the pillars 121 after step 12.
[0038] Selectively definable layers 120 having a pattern of these dimensions can produce a pattern of spacer-defined holes in later steps that is advantageously aligned with the pattern of pillars 121 in the selectively definable layer 120. In particular, the pattern of the selectively definable layer 120 shown in Figure 3 A can be described as a set of pillars 121 formed in columns and rows, in which the leftmost pillar 121a is positioned in a first column and a second row, the uppermost pillar 121 b is positioned in the second column and the first row, the lowermost pillar 121d is positioned in the second column and a third row. and the rightmost pillar 121c is positioned in the third column and the second row. When the mask pattern is formed using the dimensions described above, the holes formed in later steps can advantageously be positioned in open positions in the same columns and rows, such that the pattern of holes is aligned with the pattern of pillars. Figure 8A1 described more fully below, shows a pattern of holes 140 with a hole 140a positioned in the first column and the first row, another hole 14Od positioned in the first column and the third row, another hole 140c positioned in the second column and the second row, another hole 140b positioned in the third column and the first row, and another hole 14Oe positioned in the third column and the third row.
-1- definable layer 120 is transferred to the second hard mask layer 1 12, such as by anisotropically etching the second hard mask layer 112 through the selectively definable layer 120.
[0040] Figures 4A and 4B illustrate the partially formed integrated circuit 200 after step 20 of Figure IB has been carried out. In step 20, pillars 122 are formed in the first hard mask layer 1 10 by anisotropically etching the first hard mask layer U O through the selectively definable layer 120 and the second hard mask layer 1 12. As shown in Figures 4A and 4B, the pillars 122 formed in step 20 can have substantially the same pattern as the pattern in the selectively definable layer 120. The selectively definable layer 120 can be removed during or after the etching step 20. In embodiments including the second hard mask layer 112, the second hard mask layer 1 12 may be removed in step 22, such as by carrying out a wet strip etch. In other embodiments, the selectively definable layer 120 is removed by the same etch used to define pillars 122 in the first hard mask layer 1 10. Figures 5A and 5B illustrate the partially formed integrated circuit 200 after removing the selectively definable layer 120.
[0041] In step 30 of Figure I B5 spacer material 130 (Figures 6A. 6B) is deposited on the pillars 122. Figures 6A and 6B illustrate the partially formed integrated circuit 200 while step 30 of Figure IB is being carried out. The spacer material can include an insulating material, such as an oxide, e.g.. silicon oxide, particularly a material that is selectively etchable with respect to the material of the pillars 122 and other exposed surfaces. Examples of other spacer materials include silicon nitride, AI2O3, TiN, etc. In one or more embodiments, depositing step 30 includes uniformly depositing spacer material 130 on the pillars 122 and the substrate 100. such as by blanket depositing the spacer material 130 by chemical vapor deposition.
[0042] Figures 6A and 6B show that as spacer material 130 is deposited on the pillars 122, the spacer material 130 fills a space between neighboring pillars 122 when the spacer material 130 forms a layer having a thickness F. In one or more embodiments, the thickness F is substantially equal to
Figure imgf000011_0001
[0043] Preferably, spacer material 130 continues to be deposited beyond filling the space between the nearest neighboring pillars 122, such that the spacer material 130 surrounding the nearest neighboring pillars 122 converge and form voids with substantially circular cross-sections. Advantageously, due to corners having a relatively higher surface area for interacting with precursors, it has been found that the rate of deposition at the corners formed by the convergence is greater than at other parts between the pillars 122, causing the corners of the open space between the pillars 122 to become rounded.
[0044] Figures 7A and 7B illustrate the partially formed integrated circuit 200 after depositing step 30 has been carried out. As shown in Figures 7A and 7B, sufficient spacer material 130 has been deposited to form holes 140 with a substantially circular cross- section. The holes 140 occur in a pattern that is aligned with the pattern of the pillars 122, as described above, and the density of the holes is greater than the density of the pillars 122 in the illustrated portion of the partially formed integrated circuit.
[0045] In order to achieve a rounded cross- section for the holes 140, it may be necessary to deposit so much spacer material 130 that the width of the holes 140 is smaller than the width C of the pillars. In step 32 of Figure IB, the spacer material 130 can be trimmed, such as by isotropically etching to uniformly expand the width of the holes 140. Figures 8A and 8B illustrate the partially formed integrated circuit 200 after step 32 of Figure IB has been carried out. As shown in Figure 8B, after any etching to expand the holes 140, the layer of the spacer material 130 has a thickness G and the holes 140 have been expanded to form holes 141 having a width H. In one or more embodiments, the width H and the thickness G are both substantially equal to the width C of the pillars 122, advantageously providing a pattern of holes 141 and pillars 122 of substantially the same size. Steps 30 and 32 of Figure IB can be repeated as desired in order to achieve holes 141 of the desired shapes and sizes.
[0046] In step 34 of Figure IB, spacer material 130 (Figures 9A, 9B) is anisotropically etched to expose the upper surfaces of the pillars 122 and the substrate 100. Figures 9A and 9B illustrate the partially formed integrated circuit 200 after step 34 of Figure material 130 between the holes 141 and the pillars 122 remain substantially the same as before step 34. In some embodiments, the order of steps 32 and 34 can be reversed, such that the spacer material 130 is anisotropically etched before being trimmed by, e.g., an isotropic etch. In such embodiments, holes having different widths may be formed.
[0047] In step 40 of Figure IB, the pillars 122 (Figures 9A, 9B) are etched, such as by selectively etching the first hard mask layer 110 relative to the spacer material 130 to remove the pillars 122. Figures 1OA and 1OB illustrate the partially formed integrated circuit 200 after step 40 of Figure IB has been carried out. At this stage, a pattern of holes 141 has been achieved that has a density greater than or equal to about twice the density of the features that were formed in the selectively definable layer 120. Moreover, the holes 141 have a smaller feature size than the pillars 121 first formed by photolithography in the selectively definable layer 120, and the holes 141 occur in a pattern that is aligned with the pattern of pillars 121 in the selectively definable layer 120.
[0048] In step 50 of Figure IB, plugs 150 (Figures 1 IA, HB) are formed in the holes 141. Figures HA and HB illustrate the partially formed integrated circuit 200 after step 50 of Figure IB has been carried out. Plugs 150 can be formed of the same material as the substrate 100. The spacer material 130 is chosen to be selectively etchable relative to the material forming the plugs 150. In one or more embodiments, the plugs 150 are formed of polysilicon and the spacer material 130 is formed of silicon oxide. Depositing step 50 can be carried out in accordance with well-known deposition processes, including but not limited to chemical vapor deposition (CVD), plasma enhanced chemical vapor deposition (PECVD), or spin coating. In some embodiments, plugs 150 (Figures 1 1 A and 1 IB) can be formed by epitaxial growth.
[0049] In step 60, the spacer material 130 (Figures 1 IA, HB) is removed, such as by selectively etching the spacer material 130. In processes using spin coating, CVD or PECVD in step 50 to deposit the plugs 150, it may be necessary to first planarize the surface, such as by a chemical mechanical polishing process, or perform a plug material etch back process in order to expose the spacer material 130. after step 60 has been carried out. A pattern of plugs 150 has been formed on the substrate 100 with a density greater than or equal to about twice the density of the pillars that were formed on the selectively definable layer 120. Moreover, the plugs 150 have a smaller feature size than the pillars 121 first formed on the selectively definable layer 120, and the plugs 150 occur in a pattern that is aligned with the pattern of pillars 121 in the selectively definable layer 120.
[0051] While the method described above can provide a pattern of plugs with a density greater than or equal to about twice the density of the features that were formed on the selectively definable layer 120, the method may also be repeated to produce a pattern with a density of features that is greater than or equal to about four times the density of the original pattern. The method may then be repeated to achieve a pattern with a density of features that is greater than or equal to about eight times the density of the original pattern, and so on until the desired density is reached. For example, it will be appreciated that the plugs 150 or pillars patterned in the substrate 100, using the layer 130 (Figs. 1OA and 10B) as a mask, can be used as the pillars 122 in subsequent repeats of the method. For example, after forming these pillars steps 30-60 may be repeated. Thus, isolated features having a density 2n can be formed, where n is the number of times the method of Figs. IA and IB is repeated.
[0052] Many variations of the embodiments described herein are possible. For example, while the holes 141 and pillars 122 have the same size in the method described above, it may be desirable in some applications to form holes that are larger or smaller than the pillars. Accordingly, the thickness of the spacer material can be adjusted to achieve the desired result.
[0053] Additionally, while the method described above provides pillars and holes with a generally circular cross section, other shapes are also possible. For example, the pillars and holes can have a cross section that is generally in the shape of a square, a rectangle, or an ellipse.
[0054] Further, while the method described above provides holes 140 in a pattern that is aligned with the pattern of the pillars 122. it is also possible to place the holes in other locations relative to the pillars by beginning with a pattern of pillars other than the one of a square. One example of another pattern that can be used is a pattern of three pillars, which can be used to form a hole between the three pillars.
[0055] Moreover, the embodiments described above can be used to selectively create patterns with higher density in some regions of the integrated circuit but not in other regions. In regions where a new, higher density pattern is to be formed, features can be spaced apart at a distance sufficiently small that it can be filled by the thickness of the spacer material. In regions where a higher density pattern is not desired, features can be spaced apart at too great a distance to be filled by the spacer material and/or selectively a protective mask can be used to prevent transfer of a pattern formed by the spacer material to the substrate 110, or to prevent deposition in the same openings formed by the spacer material 130. In this way, a high density pattern can be selectively provided in some regions of the integrated circuit but not in others.
[0056] In addition, it will be appreciated the use of an imaging stack including photoresist, an ARC, and amorphous carbon can be advantageously be applied to facilitate deposition of the spacer material. Temperatures typically used for chemical vapor deposition of the spacer material may undesirably deform photoresist, thus, amorphous carbon is used to form the pillars upon which the spacer material is deposited. In other embodiments where low temperature deposition processes (e.g., atomic layer deposition) are used to deposit the spacer material, the ARC and amorphous carbon layers may be omitted and the spacer material can be deposited on pillars formed of photoresist.
[0057] In accordance with the embodiments described above, a method is provided. Such a method might include, for example, providing a substrate and forming a first set of pillars on the substrate. The method can further include depositing spacer material on the first set of pillars to form a first pattern of holes, wherein at least one of the holes is located between pillars of the first set and wherein, after depositing, spacer material fills a space between a first pillar of the first set and a nearest neighboring pillar of the first set.
[0058] In other embodiments, a method is provided. The method can include providing a substrate and forming a plurality of pillars on the substrate, the pillars having a a pattern of holes on a level of the pillars, the holes having a density at least X.
[0059] In other embodiments, a method is provided. The method can include providing a substrate and forming a set of pillars on the substrate, wherein the pillars have a width of about
Figure imgf000015_0001
and wherein a first pillar is separated from a second pillar by a distance of about
Figure imgf000015_0002
and wherein the first pillar is separated from a third pillar by a distance of about
Figure imgf000015_0003
[0060] The method can further include depositing material on the set of pillars. The method can further include etching the material to form a pattern of holes, wherein the pattern comprises a hole between the first pillar and the third pillar.
J0061] In other embodiments, a method is provided. The method can include providing a set of pillars on a substrate, the pillars arranged in two or more rows and two or more columns. The method can further include blanket depositing spacer material on the set of pillars to form a pattern of holes adjacent the pillars. The method can further include isotropically etching the spacer material to enlarge the width of the holes. The method can further include anisotropically etching the spacer material to expose the pillars.
[0062] It will be appreciated by those skilled in the art that various other omissions, additions, and modifications may be made to the methods and structures described above without departing from the scope of the invention. All such changes are intended to fall within the scope of the invention, as defined by the appended claims.

Claims

1. A method comprising: providing a substrate; forming a first set of pillars on the substrate; and depositing spacer material on the first set of pillars to form a first pattern of holes, wherein at least one of the holes is located between pillars of the first set, and wherein, after depositing, spacer material fills a space between a first pillar of the first set and a nearest neighboring pillar of the first set.
2. The method of Claim 1, wherein the first set of pillars comprises at least one column and at least one row, the at least one column being oriented transverse to the at least one row, each of the at least one column and the at least one row comprising a plurality of pillars.
3. The method of Claim 2, wherein the first pattern of holes comprises at least three columns and at least three rows.
4. The method of Claim 1, wherein the first set of pillars comprises pillars having a generally circular cross section.
5. The method of Claim 1, wherein the first pattern of holes comprises holes having a generally circular cross section.
6. The method of Claim 1 , wherein the spacer material is an insulating material.
7. The method of Claim 1 , wherein the spacer material is a semiconducting material or a conducting material.
8. The method of Claim 1 , wherein forming a first set of pillars comprises: forming a first hard mask layer over the substrate; forming a selectively definable layer over the first hard mask layer, the selectively definable layer comprising a pattern of pillars; trimming the pillars of the selectively definable layer, and etching the first hard mask layer through the selectively definable layer to transfer the pattern of trimmed pillars to the first hard mask layer.
9. The method of Claim 8, wherein trimming the pillars of the selectively definable layer comprises wet etching the selectively definable layer. forming a second hard mask layer over the first hard mask layer before forming the selectively definable layer, wherein the selectively definable layer is formed over the second hard mask layer; and etching the second hard mask layer through the selectively definable layer before etching the first hard mask layer.
1 1. The method of Claim 1, further comprising, after depositing the spacer material, isotropically etching the spacer material to increase a width of the holes.
12. The method of Claim 1 1 , wherein, after isotropically etching, the width of the holes is between about 50% and about 150% of a width of the pillars.
13. The method of Claim 1. further comprising, after depositing the spacer material, anisotropically etching the spacer materia! to expose the pillars of the first set.
14. The method of Claim 13, further comprising, after exposing the pillars of the first set, selectively etching the first set of pillars to form a second pattern of holes, the second pattern of holes comprising the holes of the first pattern of holes and the holes created by selectively etching the first set of pillars.
15. The method of Claim 14, further comprising forming a second set of pillars by depositing pillars into the second pattern of holes.
16. A method comprising: providing a substrate; forming a plurality of pillars on the substrate, the pillars having a density X; and blanket depositing material on the pillars to form a pattern of holes on a level of the pillars, the holes having a density at least X.
17. The method of Claim 16, wherein forming the plurality of pillars comprises forming pillars having a generally circular cross section.
18. The method of Claim 16, wherein the plurality of pillars comprise transparent carbon.
19. The method of Claim 16, wherein forming the plurality of pillars comprises etching the pillars using a mask.
21 . The method of Claim 16, wherein the holes of the pattern have a generally circular cross section.
22. The method of Claim 16, further comprising removing the plurality of pillars to form a pattern of holes of density at least IX.
23. The method of Claim 22, further comprising forming plugs in the pattern of holes of density at least 2X.
24. The method of Claim 23, wherein forming plugs comprises epitaxially depositing plugs on the substrate inside the holes.
25. A method comprising: providing a substrate; forming a set of pillars on the substrate, wherein the pillars have a width of
about ( — yβλ 7 , and wherein a first pillar is separated from a second pillar by a
distance of about 2 - , and wherein the first pillar is separated from a third
Figure imgf000018_0001
pillar by a distance of about
Figure imgf000018_0002
depositing material on the set of pillars to form a pattern of holes, wherein the pattern comprises a hole between the first pillar and the third pillar, wherein Y is a real number greater than zero.
26. The method of Claim 25, wherein forming a set of pillars comprises forming pillars having a generally circular cross section.
27. The method of Claim 25. wherein depositing comprises filling a space between the first pillar and the second pillar.
28. The method of Claim 25, wherein the pattern comprises holes having a generally circular cross section.
Figure imgf000019_0001
30. A method comprising: providing a set of pillars on a substrate, the pillars arranged in two or more rows and two or more columns; blanket depositing spacer material on the set of pillars to form a pattern of holes adjacent the pillars; isotropically etching the spacer material to enlarge the width of the holes; and anisotropically etching the spacer material to expose the pillars.
31. The method of Claim 30, wherein the set of pillars has a density X and depositing spacer material forms a pattern of holes defined by the spacer material, wherein the holes have a density at least X.
32. The method of Claim 31 , further comprising selectively removing the pillars to form a pattern of holes having a density at least 2X.
33. The method of Claim 30, wherein the pillars have a generally circular cross section.
34. The method of Claim 30, wherein, after isotropically etching, the holes have a generally circular cross section.
35. The method of Claim 30, wherein isotropically etching the spacer material is performed before anisotropically etching the spacer material.
PCT/US2008/081474 2007-12-06 2008-10-28 Method for forming high density patterns WO2009075959A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
CN200880119291.9A CN101889326B (en) 2007-12-06 2008-10-28 Method for forming high density patterns
EP08858925.4A EP2232530A4 (en) 2007-12-06 2008-10-28 Method for forming high density patterns

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/952,017 US7659208B2 (en) 2007-12-06 2007-12-06 Method for forming high density patterns
US11/952,017 2007-12-06

Publications (2)

Publication Number Publication Date
WO2009075959A1 true WO2009075959A1 (en) 2009-06-18
WO2009075959A9 WO2009075959A9 (en) 2010-07-08

Family

ID=40722113

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2008/081474 WO2009075959A1 (en) 2007-12-06 2008-10-28 Method for forming high density patterns

Country Status (6)

Country Link
US (3) US7659208B2 (en)
EP (1) EP2232530A4 (en)
KR (1) KR101564474B1 (en)
CN (1) CN101889326B (en)
TW (1) TWI505324B (en)
WO (1) WO2009075959A1 (en)

Families Citing this family (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US7659208B2 (en) * 2007-12-06 2010-02-09 Micron Technology, Inc Method for forming high density patterns
US7759201B2 (en) * 2007-12-17 2010-07-20 Sandisk 3D Llc Method for fabricating pitch-doubling pillar structures
US7790531B2 (en) 2007-12-18 2010-09-07 Micron Technology, Inc. Methods for isolating portions of a loop of pitch-multiplied material and related structures
US7887999B2 (en) * 2007-12-27 2011-02-15 Sandisk 3D Llc Method of making a pillar pattern using triple or quadruple exposure
US8030218B2 (en) 2008-03-21 2011-10-04 Micron Technology, Inc. Method for selectively modifying spacing between pitch multiplied structures
US7981592B2 (en) * 2008-04-11 2011-07-19 Sandisk 3D Llc Double patterning method
US7786015B2 (en) * 2008-04-28 2010-08-31 Sandisk 3D Llc Method for fabricating self-aligned complementary pillar structures and wiring
US7732235B2 (en) 2008-06-30 2010-06-08 Sandisk 3D Llc Method for fabricating high density pillar structures by double patterning using positive photoresist
US7781269B2 (en) * 2008-06-30 2010-08-24 Sandisk 3D Llc Triangle two dimensional complementary patterning of pillars
US8076208B2 (en) 2008-07-03 2011-12-13 Micron Technology, Inc. Method for forming transistor with high breakdown voltage using pitch multiplication technique
US8659165B2 (en) 2008-08-12 2014-02-25 Texas Instruments Incorporated Contact and VIA interconnects using metal around dielectric pillars
US8076056B2 (en) * 2008-10-06 2011-12-13 Sandisk 3D Llc Method of making sub-resolution pillar structures using undercutting technique
US8492282B2 (en) 2008-11-24 2013-07-23 Micron Technology, Inc. Methods of forming a masking pattern for integrated circuits
KR20100083581A (en) * 2009-01-14 2010-07-22 삼성전자주식회사 Methods of forming semiconductor device
JP5330004B2 (en) * 2009-02-03 2013-10-30 株式会社東芝 Manufacturing method of semiconductor device
EP2287670A1 (en) * 2009-06-26 2011-02-23 Rohm and Haas Electronic Materials, L.L.C. Methods of forming electronic devices
US8026178B2 (en) 2010-01-12 2011-09-27 Sandisk 3D Llc Patterning method for high density pillar structures
US7923305B1 (en) 2010-01-12 2011-04-12 Sandisk 3D Llc Patterning method for high density pillar structures
US9054160B2 (en) 2011-04-15 2015-06-09 International Business Machines Corporation Interconnect structure and method for fabricating on-chip interconnect structures by image reversal
US8890318B2 (en) 2011-04-15 2014-11-18 International Business Machines Corporation Middle of line structures
US8900988B2 (en) 2011-04-15 2014-12-02 International Business Machines Corporation Method for forming self-aligned airgap interconnect structures
KR101231294B1 (en) 2011-05-31 2013-02-07 삼성에스디에스 주식회사 Stream Controlling Method and Apparatus for Parallel Receiving of Data
US8822137B2 (en) * 2011-08-03 2014-09-02 International Business Machines Corporation Self-aligned fine pitch permanent on-chip interconnect structures and method of fabrication
US20130062732A1 (en) 2011-09-08 2013-03-14 International Business Machines Corporation Interconnect structures with functional components and methods for fabrication
US9087753B2 (en) 2012-05-10 2015-07-21 International Business Machines Corporation Printed transistor and fabrication method
KR102015568B1 (en) 2012-08-27 2019-08-28 삼성전자주식회사 A method for forming a semiconductor memory device
KR102037874B1 (en) 2013-02-07 2019-10-29 삼성전자주식회사 Method of Forming Hole patterns of Semiconductor Devices
US8802551B1 (en) 2013-02-21 2014-08-12 Samsung Electronics Co., Ltd. Methods of fabricating a semiconductor device using voids in a sacrificial layer
US9368348B2 (en) * 2013-10-01 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned patterning process
US9177797B2 (en) * 2013-12-04 2015-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography using high selectivity spacers for pitch reduction
US9184059B2 (en) * 2014-03-21 2015-11-10 Inotera Memories, Inc. Method for increasing pattern density
KR20160085043A (en) * 2015-01-07 2016-07-15 에스케이하이닉스 주식회사 Method for fabricating pattern
TWI640042B (en) 2015-03-09 2018-11-01 聯華電子股份有限公司 Manufacturing method of patterned structure of semiconductor device
KR102325201B1 (en) 2015-04-22 2021-11-11 삼성전자주식회사 Methods of manufacturing semiconductor devices
KR102274738B1 (en) 2016-01-08 2021-07-07 삼성전자주식회사 Semiconductor device and method for fabricating the same
CN105800549B (en) * 2016-01-16 2018-08-21 苏州工业园区纳米产业技术研究院有限公司 Metallic nanodots array and the method for being used to form nano dot device
US11067895B2 (en) 2017-01-13 2021-07-20 International Business Machines Corporation Method and structures for personalizing lithography
US10283362B2 (en) * 2017-08-17 2019-05-07 Nanya Technology Corporation Method of forming fine line patterns of semiconductor devices
US10204783B1 (en) * 2017-09-03 2019-02-12 Nanya Technology Corporation Method of forming fine island patterns of semiconductor devices
US10115594B1 (en) * 2017-09-05 2018-10-30 Nanya Technology Corporation Method of forming fine island patterns of semiconductor devices
US11114299B2 (en) * 2019-07-05 2021-09-07 Applied Materials, Inc. Techniques for reducing tip to tip shorting and critical dimension variation during nanoscale patterning

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR19990001440A (en) * 1997-06-14 1999-01-15 문정환 Wiring Formation Method of Semiconductor Device
US6362057B1 (en) * 1999-10-26 2002-03-26 Motorola, Inc. Method for forming a semiconductor device
US20030230234A1 (en) * 2002-06-14 2003-12-18 Dong-Seok Nam Method of forming fine patterns of semiconductor device
US20060263699A1 (en) * 2005-05-23 2006-11-23 Mirzafer Abatchev Methods for forming arrays of a small, closely spaced features

Family Cites Families (190)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5748237Y2 (en) 1978-12-28 1982-10-22
US4234362A (en) 1978-11-03 1980-11-18 International Business Machines Corporation Method for forming an insulator between layers of conductive material
US4508579A (en) 1981-03-30 1985-04-02 International Business Machines Corporation Lateral device structures using self-aligned fabrication techniques
US4432132A (en) 1981-12-07 1984-02-21 Bell Telephone Laboratories, Incorporated Formation of sidewall oxide layers by reactive oxygen ion etching to define submicron features
US4419809A (en) 1981-12-30 1983-12-13 International Business Machines Corporation Fabrication process of sub-micrometer channel length MOSFETs
DE3242113A1 (en) 1982-11-13 1984-05-24 Ibm Deutschland Gmbh, 7000 Stuttgart METHOD FOR PRODUCING A THIN DIELECTRIC INSULATION IN A SILICON SEMICONDUCTOR BODY
US4716131A (en) 1983-11-28 1987-12-29 Nec Corporation Method of manufacturing semiconductor device having polycrystalline silicon layer with metal silicide film
US4648937A (en) 1985-10-30 1987-03-10 International Business Machines Corporation Method of preventing asymmetric etching of lines in sub-micrometer range sidewall images transfer
GB8528967D0 (en) 1985-11-25 1986-01-02 Plessey Co Plc Semiconductor device manufacture
EP0238690B1 (en) * 1986-03-27 1991-11-06 International Business Machines Corporation Process for forming sidewalls
US5514885A (en) 1986-10-09 1996-05-07 Myrick; James J. SOI methods and apparatus
JP2805702B2 (en) * 1987-07-24 1998-09-30 ソニー株式会社 Semiconductor memory device
JPS6435916U (en) 1987-08-28 1989-03-03
US4776922A (en) 1987-10-30 1988-10-11 International Business Machines Corporation Formation of variable-width sidewall structures
US4838991A (en) 1987-10-30 1989-06-13 International Business Machines Corporation Process for defining organic sidewall structures
US5328810A (en) 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
US5013680A (en) 1990-07-18 1991-05-07 Micron Technology, Inc. Process for fabricating a DRAM array having feature widths that transcend the resolution limit of available photolithography
US5053105A (en) 1990-07-19 1991-10-01 Micron Technology, Inc. Process for creating an etch mask suitable for deep plasma etches employing self-aligned silicidation of a metal layer masked with a silicon dioxide template
DE4034612A1 (en) 1990-10-31 1992-05-07 Huels Chemische Werke Ag METHOD FOR PRODUCING ORGANOSILANES CONTAINING METHACRYLOXY OR ACRYLOXY GROUPS
IT1243919B (en) 1990-11-20 1994-06-28 Cons Ric Microelettronica PROCEDURE FOR OBTAINING PLANARIZED SUBMICHROMETRIC GROOVES IN INTEGRATED CIRCUITS REALIZED WITH ULSI TECHNOLOGY
JPH05343370A (en) 1992-06-10 1993-12-24 Toshiba Corp Forming method for fine pattern
US5330879A (en) 1992-07-16 1994-07-19 Micron Technology, Inc. Method for fabrication of close-tolerance lines and sharp emission tips on a semiconductor wafer
DE4236609A1 (en) 1992-10-29 1994-05-05 Siemens Ag Method for forming a structure in the surface of a substrate - with an auxiliary structure laterally bounding an initial masking structure, followed by selective removal of masking structure using the auxiliary structure as an etching mask
US5407785A (en) 1992-12-18 1995-04-18 Vlsi Technology, Inc. Method for generating dense lines on a semiconductor wafer using phase-shifting and multiple exposures
US5470661A (en) 1993-01-07 1995-11-28 International Business Machines Corporation Diamond-like carbon films from a hydrocarbon helium plasma
US6042998A (en) 1993-09-30 2000-03-28 The University Of New Mexico Method and apparatus for extending spatial frequencies in photolithography images
KR970007173B1 (en) * 1994-07-14 1997-05-03 현대전자산업 주식회사 Fine patterning method
JPH0855920A (en) 1994-08-15 1996-02-27 Toshiba Corp Manufacture of semiconductor device
JPH0855908A (en) 1994-08-17 1996-02-27 Toshiba Corp Semiconductor device
US5600153A (en) 1994-10-07 1997-02-04 Micron Technology, Inc. Conductive polysilicon lines and thin film transistors
TW366367B (en) 1995-01-26 1999-08-11 Ibm Sputter deposition of hydrogenated amorphous carbon film
US5795830A (en) 1995-06-06 1998-08-18 International Business Machines Corporation Reducing pitch with continuously adjustable line and space dimensions
KR100190757B1 (en) 1995-06-30 1999-06-01 김영환 Method of forming mosfet
JP3393286B2 (en) 1995-09-08 2003-04-07 ソニー株式会社 Pattern formation method
US5789320A (en) 1996-04-23 1998-08-04 International Business Machines Corporation Plating of noble metal electrodes for DRAM and FRAM
TW329539B (en) * 1996-07-05 1998-04-11 Mitsubishi Electric Corp The semiconductor device and its manufacturing method
JP3164026B2 (en) 1996-08-21 2001-05-08 日本電気株式会社 Semiconductor device and manufacturing method thereof
US5933742A (en) * 1996-09-06 1999-08-03 Powerchip Semiconductor Corp. Multi-crown capacitor for high density DRAMS
US6395613B1 (en) 2000-08-30 2002-05-28 Micron Technology, Inc. Semiconductor processing methods of forming a plurality of capacitors on a substrate, bit line contacts and method of forming bit line contacts
US5998256A (en) 1996-11-01 1999-12-07 Micron Technology, Inc. Semiconductor processing methods of forming devices on a substrate, forming device arrays on a substrate, forming conductive lines on a substrate, and forming capacitor arrays on a substrate, and integrated circuitry
US5895740A (en) 1996-11-13 1999-04-20 Vanguard International Semiconductor Corp. Method of forming contact holes of reduced dimensions by using in-situ formed polymeric sidewall spacers
US6063688A (en) 1997-09-29 2000-05-16 Intel Corporation Fabrication of deep submicron structures and quantum wire transistors using hard-mask transistor width definition
KR100247862B1 (en) * 1997-12-11 2000-03-15 윤종용 Semiconductor device and method for manufacturing the same
US6143476A (en) 1997-12-12 2000-11-07 Applied Materials Inc Method for high temperature etching of patterned layers using an organic mask stack
US6291334B1 (en) 1997-12-19 2001-09-18 Applied Materials, Inc. Etch stop layer for dual damascene process
US6004862A (en) 1998-01-20 1999-12-21 Advanced Micro Devices, Inc. Core array and periphery isolation technique
JP2975917B2 (en) 1998-02-06 1999-11-10 株式会社半導体プロセス研究所 Semiconductor device manufacturing method and semiconductor device manufacturing apparatus
US5933725A (en) 1998-05-27 1999-08-03 Vanguard International Semiconductor Corporation Word line resistance reduction method and design for high density memory with relaxed metal pitch
US6020255A (en) * 1998-07-13 2000-02-01 Taiwan Semiconductor Manufacturing Company Dual damascene interconnect process with borderless contact
US6245662B1 (en) 1998-07-23 2001-06-12 Applied Materials, Inc. Method of producing an interconnect structure for an integrated circuit
US6071789A (en) 1998-11-10 2000-06-06 Vanguard International Semiconductor Corporation Method for simultaneously fabricating a DRAM capacitor and metal interconnections
US6204187B1 (en) 1999-01-06 2001-03-20 Infineon Technologies North America, Corp. Contact and deep trench patterning
US6211044B1 (en) 1999-04-12 2001-04-03 Advanced Micro Devices Process for fabricating a semiconductor device component using a selective silicidation reaction
JP2000307084A (en) * 1999-04-23 2000-11-02 Hitachi Ltd Semiconductor integrated circuit device and its manufacture
US6110837A (en) 1999-04-28 2000-08-29 Worldwide Semiconductor Manufacturing Corp. Method for forming a hard mask of half critical dimension
US6136662A (en) 1999-05-13 2000-10-24 Lsi Logic Corporation Semiconductor wafer having a layer-to-layer alignment mark and method for fabricating the same
US6204115B1 (en) * 1999-06-03 2001-03-20 Stanford University Manufacture of high-density pillar memory cell arrangement
JP2000357736A (en) 1999-06-15 2000-12-26 Toshiba Corp Semiconductor device and manufacture thereof
JP2001077196A (en) 1999-09-08 2001-03-23 Sony Corp Manufacture of semiconductor device
US6582891B1 (en) 1999-12-02 2003-06-24 Axcelis Technologies, Inc. Process for reducing edge roughness in patterned photoresist
US6573030B1 (en) 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US6967140B2 (en) 2000-03-01 2005-11-22 Intel Corporation Quantum wire gate device and method of making same
US6297554B1 (en) 2000-03-10 2001-10-02 United Microelectronics Corp. Dual damascene interconnect structure with reduced parasitic capacitance
US6423474B1 (en) 2000-03-21 2002-07-23 Micron Technology, Inc. Use of DARC and BARC in flash memory processing
JP3805603B2 (en) 2000-05-29 2006-08-02 富士通株式会社 Semiconductor device and manufacturing method thereof
US6632741B1 (en) 2000-07-19 2003-10-14 International Business Machines Corporation Self-trimming method on looped patterns
US6455372B1 (en) 2000-08-14 2002-09-24 Micron Technology, Inc. Nucleation for improved flash erase characteristics
US6348380B1 (en) 2000-08-25 2002-02-19 Micron Technology, Inc. Use of dilute steam ambient for improvement of flash devices
SE517275C2 (en) 2000-09-20 2002-05-21 Obducat Ab Wet etching of substrate involves arranging on the substrate a passivating substance comprising active substance reacting with component contained in etchant to form etch protecting compound
US6335257B1 (en) 2000-09-29 2002-01-01 Vanguard International Semiconductor Corporation Method of making pillar-type structure on semiconductor substrate
US6667237B1 (en) 2000-10-12 2003-12-23 Vram Technologies, Llc Method and apparatus for patterning fine dimensions
US6534243B1 (en) 2000-10-23 2003-03-18 Advanced Micro Devices, Inc. Chemical feature doubling process
US6926843B2 (en) 2000-11-30 2005-08-09 International Business Machines Corporation Etching of hard masks
US6664028B2 (en) 2000-12-04 2003-12-16 United Microelectronics Corp. Method of forming opening in wafer layer
JP3406302B2 (en) * 2001-01-16 2003-05-12 株式会社半導体先端テクノロジーズ Method of forming fine pattern, method of manufacturing semiconductor device, and semiconductor device
KR100399436B1 (en) * 2001-03-28 2003-09-29 주식회사 하이닉스반도체 A Magnetic random access memory and a method for manufacturing the same
US6740594B2 (en) 2001-05-31 2004-05-25 Infineon Technologies Ag Method for removing carbon-containing polysilane from a semiconductor without stripping
US6960806B2 (en) 2001-06-21 2005-11-01 International Business Machines Corporation Double gated vertical transistor with different first and second gate materials
US6522584B1 (en) 2001-08-02 2003-02-18 Micron Technology, Inc. Programming methods for multi-level flash EEPROMs
US6744094B2 (en) 2001-08-24 2004-06-01 Micron Technology Inc. Floating gate transistor with horizontal gate layers stacked next to vertical body
TW497138B (en) 2001-08-28 2002-08-01 Winbond Electronics Corp Method for improving consistency of critical dimension
DE10142590A1 (en) 2001-08-31 2003-04-03 Infineon Technologies Ag Production of resist structures used in semiconductor industry comprises applying a resist film on a substrate, forming a resist structure with bars from the film, and removing reinforced sections
US7045383B2 (en) 2001-09-19 2006-05-16 BAE Systems Information and Ovonyx, Inc Method for making tapered opening for programmable resistance memory element
JP2003133437A (en) 2001-10-24 2003-05-09 Hitachi Ltd Semiconductor device and manufacturing method thereof
US7226853B2 (en) 2001-12-26 2007-06-05 Applied Materials, Inc. Method of forming a dual damascene structure utilizing a three layer hard mask structure
TW576864B (en) 2001-12-28 2004-02-21 Toshiba Corp Method for manufacturing a light-emitting device
US6638441B2 (en) 2002-01-07 2003-10-28 Macronix International Co., Ltd. Method for pitch reduction
DE10207131B4 (en) 2002-02-20 2007-12-20 Infineon Technologies Ag Process for forming a hardmask in a layer on a flat disk
US6620715B1 (en) * 2002-03-29 2003-09-16 Cypress Semiconductor Corp. Method for forming sub-critical dimension structures in an integrated circuit
US6759180B2 (en) 2002-04-23 2004-07-06 Hewlett-Packard Development Company, L.P. Method of fabricating sub-lithographic sized line and space patterns for nano-imprinting lithography
US20030207584A1 (en) 2002-05-01 2003-11-06 Swaminathan Sivakumar Patterning tighter and looser pitch geometries
US6951709B2 (en) 2002-05-03 2005-10-04 Micron Technology, Inc. Method of fabricating a semiconductor multilevel interconnect structure
US6602779B1 (en) 2002-05-13 2003-08-05 Taiwan Semiconductor Manufacturing Co., Ltd Method for forming low dielectric constant damascene structure while employing carbon doped silicon oxide planarizing stop layer
US6703312B2 (en) 2002-05-17 2004-03-09 International Business Machines Corporation Method of forming active devices of different gatelengths using lithographic printed gate images of same length
US6818141B1 (en) * 2002-06-10 2004-11-16 Advanced Micro Devices, Inc. Application of the CVD bilayer ARC as a hard mask for definition of the subresolution trench features between polysilicon wordlines
US6734107B2 (en) 2002-06-12 2004-05-11 Macronix International Co., Ltd. Pitch reduction in semiconductor fabrication
US6559017B1 (en) 2002-06-13 2003-05-06 Advanced Micro Devices, Inc. Method of using amorphous carbon as spacer material in a disposable spacer process
US6924191B2 (en) 2002-06-20 2005-08-02 Applied Materials, Inc. Method for fabricating a gate structure of a field effect transistor
AU2003280498A1 (en) 2002-06-27 2004-01-19 Advanced Micro Devices, Inc. Method of defining the dimensions of circuit elements by using spacer deposition techniques
US6500756B1 (en) 2002-06-28 2002-12-31 Advanced Micro Devices, Inc. Method of forming sub-lithographic spaces between polysilicon lines
US6689695B1 (en) 2002-06-28 2004-02-10 Taiwan Semiconductor Manufacturing Company Multi-purpose composite mask for dual damascene patterning
US6835663B2 (en) 2002-06-28 2004-12-28 Infineon Technologies Ag Hardmask of amorphous carbon-hydrogen (a-C:H) layers with tunable etch resistivity
US20040018738A1 (en) 2002-07-22 2004-01-29 Wei Liu Method for fabricating a notch gate structure of a field effect transistor
US6913871B2 (en) 2002-07-23 2005-07-05 Intel Corporation Fabricating sub-resolution structures in planar lightwave devices
US6800930B2 (en) 2002-07-31 2004-10-05 Micron Technology, Inc. Semiconductor dice having back side redistribution layer accessed using through-silicon vias, and assemblies
US6764949B2 (en) 2002-07-31 2004-07-20 Advanced Micro Devices, Inc. Method for reducing pattern deformation and photoresist poisoning in semiconductor device fabrication
US6673684B1 (en) 2002-07-31 2004-01-06 Advanced Micro Devices, Inc. Use of diamond as a hard mask material
US6939808B2 (en) 2002-08-02 2005-09-06 Applied Materials, Inc. Undoped and fluorinated amorphous carbon film as pattern mask for metal etch
KR100480610B1 (en) 2002-08-09 2005-03-31 삼성전자주식회사 Forming method for fine patterns using silicon oxide layer
US6566280B1 (en) 2002-08-26 2003-05-20 Intel Corporation Forming polymer features on a substrate
US6756284B2 (en) 2002-09-18 2004-06-29 Silicon Storage Technology, Inc. Method for forming a sublithographic opening in a semiconductor process
US6706571B1 (en) 2002-10-22 2004-03-16 Advanced Micro Devices, Inc. Method for forming multiple structures in a semiconductor device
US6888755B2 (en) 2002-10-28 2005-05-03 Sandisk Corporation Flash memory cell arrays having dual control gates per memory cell charge storage element
JP4034164B2 (en) 2002-10-28 2008-01-16 富士通株式会社 Method for manufacturing fine pattern and method for manufacturing semiconductor device
US7119020B2 (en) 2002-12-04 2006-10-10 Matsushita Electric Industrial Co., Ltd. Method for fabricating semiconductor device
US6686245B1 (en) 2002-12-20 2004-02-03 Motorola, Inc. Vertical MOSFET with asymmetric gate structure
US6916594B2 (en) * 2002-12-30 2005-07-12 Hynix Semiconductor Inc. Overcoating composition for photoresist and method for forming photoresist pattern using the same
US7015124B1 (en) 2003-04-28 2006-03-21 Advanced Micro Devices, Inc. Use of amorphous carbon for gate patterning
US6773998B1 (en) 2003-05-20 2004-08-10 Advanced Micro Devices, Inc. Modified film stack and patterning strategy for stress compensation and prevention of pattern distortion in amorphous carbon gate patterning
JP4578785B2 (en) 2003-05-21 2010-11-10 ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor device
US6835662B1 (en) 2003-07-14 2004-12-28 Advanced Micro Devices, Inc. Partially de-coupled core and periphery gate module process
DE10345455A1 (en) 2003-09-30 2005-05-04 Infineon Technologies Ag Method for producing a hard mask and hard mask arrangement
KR100536801B1 (en) 2003-10-01 2005-12-14 동부아남반도체 주식회사 Semiconductor device and fabrication method thereof
US6867116B1 (en) 2003-11-10 2005-03-15 Macronix International Co., Ltd. Fabrication method of sub-resolution pitch for integrated circuits
JP2005150333A (en) 2003-11-14 2005-06-09 Sony Corp Method of manufacturing semiconductor device
KR100554514B1 (en) 2003-12-26 2006-03-03 삼성전자주식회사 Method for forming pattern and gate electrode in semiconductor processing
US6998332B2 (en) 2004-01-08 2006-02-14 International Business Machines Corporation Method of independent P and N gate length control of FET device made by sidewall image transfer technique
US6875703B1 (en) 2004-01-20 2005-04-05 International Business Machines Corporation Method for forming quadruple density sidewall image transfer (SIT) structures
US7064078B2 (en) 2004-01-30 2006-06-20 Applied Materials Techniques for the use of amorphous carbon (APF) for various etch and litho integration scheme
US8486287B2 (en) 2004-03-19 2013-07-16 The Regents Of The University Of California Methods for fabrication of positional and compositionally controlled nanostructures on substrate
US7098105B2 (en) * 2004-05-26 2006-08-29 Micron Technology, Inc. Methods for forming semiconductor structures
US6955961B1 (en) * 2004-05-27 2005-10-18 Macronix International Co., Ltd. Method for defining a minimum pitch in an integrated circuit beyond photolithographic resolution
US7183205B2 (en) * 2004-06-08 2007-02-27 Macronix International Co., Ltd. Method of pitch dimension shrinkage
US7473644B2 (en) 2004-07-01 2009-01-06 Micron Technology, Inc. Method for forming controlled geometry hardmasks including subresolution elements
US7220982B2 (en) * 2004-07-27 2007-05-22 Micron Technology, Inc. Amorphous carbon-based non-volatile memory
US7074666B2 (en) * 2004-07-28 2006-07-11 International Business Machines Corporation Borderless contact structures
KR100704470B1 (en) * 2004-07-29 2007-04-10 주식회사 하이닉스반도체 Method for fabrication of semiconductor device using amorphous carbon layer to sacrificial hard mask
US7151040B2 (en) 2004-08-31 2006-12-19 Micron Technology, Inc. Methods for increasing photo alignment margins
US7175944B2 (en) 2004-08-31 2007-02-13 Micron Technology, Inc. Prevention of photoresist scumming
US7442976B2 (en) * 2004-09-01 2008-10-28 Micron Technology, Inc. DRAM cells with vertical transistors
US7910288B2 (en) 2004-09-01 2011-03-22 Micron Technology, Inc. Mask material conversion
US7655387B2 (en) 2004-09-02 2010-02-02 Micron Technology, Inc. Method to align mask patterns
US7115525B2 (en) 2004-09-02 2006-10-03 Micron Technology, Inc. Method for integrated circuit fabrication using pitch multiplication
KR100614651B1 (en) 2004-10-11 2006-08-22 삼성전자주식회사 Apparatus And Method For Pattern Exposure, Photomask Used Therefor, Design Method For The Photomask, Illuminating System Therefor and Implementing Method For The Illuminating System
US7208379B2 (en) 2004-11-29 2007-04-24 Texas Instruments Incorporated Pitch multiplication process
US7298004B2 (en) * 2004-11-30 2007-11-20 Infineon Technologies Ag Charge-trapping memory cell and method for production
KR100596795B1 (en) * 2004-12-16 2006-07-05 주식회사 하이닉스반도체 Capacitor of semiconductor device and method for forming the same
US7183142B2 (en) 2005-01-13 2007-02-27 International Business Machines Corporation FinFETs with long gate length at high density
US7271107B2 (en) 2005-02-03 2007-09-18 Lam Research Corporation Reduction of feature critical dimensions using multiple masks
KR100787352B1 (en) 2005-02-23 2007-12-18 주식회사 하이닉스반도체 Composition for Hard Mask and Method for Forming Pattern of Semiconductor Device using it
US7390746B2 (en) 2005-03-15 2008-06-24 Micron Technology, Inc. Multiple deposition for integration of spacers in pitch multiplication process
US7253118B2 (en) 2005-03-15 2007-08-07 Micron Technology, Inc. Pitch reduced patterns relative to photolithography features
US7611944B2 (en) 2005-03-28 2009-11-03 Micron Technology, Inc. Integrated circuit fabrication
KR100640639B1 (en) 2005-04-19 2006-10-31 삼성전자주식회사 Semiconductor device having fine contact and method of manufacturing the same
US7547599B2 (en) 2005-05-26 2009-06-16 Micron Technology, Inc. Multi-state memory cell
US7560390B2 (en) 2005-06-02 2009-07-14 Micron Technology, Inc. Multiple spacer steps for pitch multiplication
US7396781B2 (en) 2005-06-09 2008-07-08 Micron Technology, Inc. Method and apparatus for adjusting feature size and position
JP2006351861A (en) 2005-06-16 2006-12-28 Toshiba Corp Manufacturing method of semiconductor device
TW200705541A (en) 2005-07-25 2007-02-01 Li Bing Huan Manufacturing method of nano-sticker
US7413981B2 (en) 2005-07-29 2008-08-19 Micron Technology, Inc. Pitch doubled circuit layout
US7291560B2 (en) * 2005-08-01 2007-11-06 Infineon Technologies Ag Method of production pitch fractionizations in semiconductor technology
US7816262B2 (en) 2005-08-30 2010-10-19 Micron Technology, Inc. Method and algorithm for random half pitched interconnect layout with constant spacing
US7829262B2 (en) 2005-08-31 2010-11-09 Micron Technology, Inc. Method of forming pitch multipled contacts
US7687342B2 (en) 2005-09-01 2010-03-30 Micron Technology, Inc. Method of manufacturing a memory device
US7393789B2 (en) * 2005-09-01 2008-07-01 Micron Technology, Inc. Protective coating for planarization
US7776744B2 (en) 2005-09-01 2010-08-17 Micron Technology, Inc. Pitch multiplication spacers and methods of forming the same
US7572572B2 (en) 2005-09-01 2009-08-11 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7759197B2 (en) 2005-09-01 2010-07-20 Micron Technology, Inc. Method of forming isolated features using pitch multiplication
KR101200938B1 (en) * 2005-09-30 2012-11-13 삼성전자주식회사 Method for forming patterns of semiconductor device
US7244638B2 (en) * 2005-09-30 2007-07-17 Infineon Technologies Ag Semiconductor memory device and method of production
KR100714305B1 (en) 2005-12-26 2007-05-02 삼성전자주식회사 Method of forming self aligned double pattern
TWI293207B (en) * 2006-01-11 2008-02-01 Promos Technologies Inc Dynamic random access memory structure and method for preparing the smae
KR100672123B1 (en) * 2006-02-02 2007-01-19 주식회사 하이닉스반도체 Method for forming micro pattern in semiconductor device
US7842558B2 (en) 2006-03-02 2010-11-30 Micron Technology, Inc. Masking process for simultaneously patterning separate regions
US20070210449A1 (en) * 2006-03-07 2007-09-13 Dirk Caspary Memory device and an array of conductive lines and methods of making the same
US7351666B2 (en) 2006-03-17 2008-04-01 International Business Machines Corporation Layout and process to contact sub-lithographic structures
US7902074B2 (en) 2006-04-07 2011-03-08 Micron Technology, Inc. Simplified pitch doubling process flow
US8003310B2 (en) 2006-04-24 2011-08-23 Micron Technology, Inc. Masking techniques and templates for dense semiconductor fabrication
US7488685B2 (en) 2006-04-25 2009-02-10 Micron Technology, Inc. Process for improving critical dimension uniformity of integrated circuit arrays
US7537866B2 (en) * 2006-05-24 2009-05-26 Synopsys, Inc. Patterning a single integrated circuit layer using multiple masks and multiple masking layers
US7795149B2 (en) 2006-06-01 2010-09-14 Micron Technology, Inc. Masking techniques and contact imprint reticles for dense semiconductor fabrication
US7611980B2 (en) 2006-08-30 2009-11-03 Micron Technology, Inc. Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures
US7825460B2 (en) * 2006-09-06 2010-11-02 International Business Machines Corporation Vertical field effect transistor arrays and methods for fabrication thereof
US7666578B2 (en) 2006-09-14 2010-02-23 Micron Technology, Inc. Efficient pitch multiplication process
US20080292991A1 (en) * 2007-05-24 2008-11-27 Advanced Micro Devices, Inc. High fidelity multiple resist patterning
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US8563229B2 (en) 2007-07-31 2013-10-22 Micron Technology, Inc. Process of semiconductor fabrication with mask overlay on pitch multiplied features and associated structures
US7737039B2 (en) 2007-11-01 2010-06-15 Micron Technology, Inc. Spacer process for on pitch contacts and related structures
US7851135B2 (en) * 2007-11-30 2010-12-14 Hynix Semiconductor Inc. Method of forming an etching mask pattern from developed negative and positive photoresist layers
US7659208B2 (en) * 2007-12-06 2010-02-09 Micron Technology, Inc Method for forming high density patterns

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR19990001440A (en) * 1997-06-14 1999-01-15 문정환 Wiring Formation Method of Semiconductor Device
US6362057B1 (en) * 1999-10-26 2002-03-26 Motorola, Inc. Method for forming a semiconductor device
US20030230234A1 (en) * 2002-06-14 2003-12-18 Dong-Seok Nam Method of forming fine patterns of semiconductor device
US20060263699A1 (en) * 2005-05-23 2006-11-23 Mirzafer Abatchev Methods for forming arrays of a small, closely spaced features

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See also references of EP2232530A4 *

Also Published As

Publication number Publication date
US8324107B2 (en) 2012-12-04
CN101889326A (en) 2010-11-17
EP2232530A1 (en) 2010-09-29
EP2232530A4 (en) 2014-10-22
TWI505324B (en) 2015-10-21
US8871648B2 (en) 2014-10-28
KR101564474B1 (en) 2015-10-29
KR20100106455A (en) 2010-10-01
US7659208B2 (en) 2010-02-09
US20090149026A1 (en) 2009-06-11
TW200935497A (en) 2009-08-16
US20100112818A1 (en) 2010-05-06
WO2009075959A9 (en) 2010-07-08
CN101889326B (en) 2012-07-11
US20130089977A1 (en) 2013-04-11

Similar Documents

Publication Publication Date Title
US7659208B2 (en) Method for forming high density patterns
EP2206142B1 (en) Spacer process for on pitch contacts and related structures
US7709396B2 (en) Integral patterning of large features along with array using spacer mask patterning process flow
KR101091298B1 (en) Methods to reduce the critical dimension of semiconductor devices and partially fabricated semiconductor devices having reduced critical dimensions
TWI651809B (en) Feature size reduction
KR101208847B1 (en) Methods of forming patterns utilizing lithography and spacers
TWI517247B (en) Semiconductor circuit structure and process of making the same
KR20170042056A (en) Method of forming patterns for semiconductor device
US20140138800A1 (en) Small pitch patterns and fabrication method
WO2006101695B1 (en) Pitch reduced patterns relative to photolithography features
KR20080106063A (en) Frequency doubling using spacer mask
EP1998362A2 (en) Frequency Tripling Using Spacer Mask Having Interposed Regions
US9070630B2 (en) Mechanisms for forming patterns
KR20190127585A (en) Critical dimension trimming method designed to minimize line width roughness and line edge roughness
JP2006019496A (en) Method for defining minimum pitch in integrated circuit beyond photolithographic resolution
JPS63258020A (en) Formation of element isolation pattern
WO2024054362A1 (en) Double patterning method of patterning a substrate
CN115775726A (en) Method for forming semiconductor structure

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 200880119291.9

Country of ref document: CN

121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 08858925

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

REEP Request for entry into the european phase

Ref document number: 2008858925

Country of ref document: EP

WWE Wipo information: entry into national phase

Ref document number: 2008858925

Country of ref document: EP

ENP Entry into the national phase

Ref document number: 20107014880

Country of ref document: KR

Kind code of ref document: A