WO2009102551A2 - One-dimensional arrays of block copolymer cylinders and applications thereof - Google Patents

One-dimensional arrays of block copolymer cylinders and applications thereof Download PDF

Info

Publication number
WO2009102551A2
WO2009102551A2 PCT/US2009/032130 US2009032130W WO2009102551A2 WO 2009102551 A2 WO2009102551 A2 WO 2009102551A2 US 2009032130 W US2009032130 W US 2009032130W WO 2009102551 A2 WO2009102551 A2 WO 2009102551A2
Authority
WO
WIPO (PCT)
Prior art keywords
block copolymer
trench
polymer
block
substrate
Prior art date
Application number
PCT/US2009/032130
Other languages
French (fr)
Other versions
WO2009102551A3 (en
Inventor
Dan B. Millward
Karl Stuen
Original Assignee
Micron Technology, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology, Inc. filed Critical Micron Technology, Inc.
Priority to EP09711291A priority Critical patent/EP2262721A2/en
Priority to CN2009801050240A priority patent/CN101952195B/en
Publication of WO2009102551A2 publication Critical patent/WO2009102551A2/en
Publication of WO2009102551A3 publication Critical patent/WO2009102551A3/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00023Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems without movable or flexible elements
    • B81C1/00031Regular or irregular arrays of nanoscale structures, e.g. etch mask layer
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y30/00Nanotechnology for materials or surface science, e.g. nanocomposites
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0101Shaping material; Structuring the bulk substrate or layers on the substrate; Film patterning
    • B81C2201/0147Film patterning
    • B81C2201/0149Forming nanoscale microstructures using auto-arranging or self-assembling material
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/70Nanostructure
    • Y10S977/778Nanostructure within specified host or matrix material, e.g. nanocomposite films
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/70Nanostructure
    • Y10S977/778Nanostructure within specified host or matrix material, e.g. nanocomposite films
    • Y10S977/784Electrically conducting, semi-conducting, or semi-insulating host material
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/70Nanostructure
    • Y10S977/788Of specified organic or carbon-based composition
    • Y10S977/789Of specified organic or carbon-based composition in array format
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/70Nanostructure
    • Y10S977/788Of specified organic or carbon-based composition
    • Y10S977/789Of specified organic or carbon-based composition in array format
    • Y10S977/79Of specified organic or carbon-based composition in array format with heterogeneous nanostructures
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24058Structurally defined web or sheet [e.g., overall dimension, etc.] including grain, strips, or filamentary elements in respective layers or components in angular relation
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24058Structurally defined web or sheet [e.g., overall dimension, etc.] including grain, strips, or filamentary elements in respective layers or components in angular relation
    • Y10T428/24124Fibers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24174Structurally defined web or sheet [e.g., overall dimension, etc.] including sheet or component perpendicular to plane of web or sheet
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24174Structurally defined web or sheet [e.g., overall dimension, etc.] including sheet or component perpendicular to plane of web or sheet
    • Y10T428/24182Inward from edge of web or sheet

Definitions

  • Embodiments of the invention relate to methods of fabricating thin films of self-ass ⁇ mbling block copolymers, and devices resulting from those methods.
  • the film morphology including the size and shape of the microphase-separated domains, can be controlled by the molecular weight and volume fraction of the AB blocks of a diblock copolymer to produce lamellar, cylindrical, or spherical morphologies, among others.
  • a block copolymer film will microphase separate and self-assemble into a periodic spherical domains with spheres of polymer B surrounded by a matrix of polymer A.
  • the diblock copolymer For ratios of the two blocks between about 60:40 and 80:20, the diblock copolymer assembles into a periodic hexagonal close-packed or honeycomb array of cylinders of polymer B within a matrix of polymer A. For ratios between about 50:50 and 60:40, lamellar domains or alternating stripes of the blocks are formed. Domain size typically ranges from 5-50 run.
  • researchers have reported producing a 1-D array of spheres of the minority block of a block copolymer in a matrix of the majority block by iemplating a spherical-morphology block copolymer within a narrow groove.
  • a 1-D array of spheres provides a poor etch mask structure where, even if the sphere material can be removed, there is little aspect ratio to the remaining porous film.
  • the spheres in adjacent grooves were offset along the _y-axis and not aligned.
  • applications for forming structures in an underlying substrate for semiconductor systems require a complex layout of elements for forming contacts, conductive lines and/or other elements such as DRAM capacitors,
  • FIG. 1 illustrates a diagrammatic top plan view of a portion of a substrate at a preliminary processing stage according to an embodiment of the present disclosure, showing the substrate with a neutral wetting material thereon
  • FIGS. IA-I B are elevational, cross-sectional views of the substrate depicted in FIG. 1 taken along lines IA- IA and IB- IB, respectively.
  • FIG. 2 illustrates a diagrammatic top plan view of the substrate of FIG. 1 at a subsequent stage showing the formation of trenches in a material layer formed on the neutral wetting material.
  • FIGS. 2A-2B illustrate elevational, cross-sectional views of a portion of the substrate depicted in FIG. 2 taken, respectively, along lines 2A-2A and 2B-2B,
  • FIG. 3 illustrates a side elevational view of a portion of a substrate at a preliminary processing stage according to another embodiment of the disclosure, showing the substrate with trenches in a material layer formed on the substrate.
  • FlG. 4 illustrates a side elevational view of the substrate of FIG. 3 at a subsequent stage showing the formation of a neutral wetting material within the trenches.
  • FIGS. 5-6 are diagrammatic top plan views of the substrate of FIG. 2 at subsequent stages in the fabrication of a self-assembled block copolymer film composed of a single row of perpendicular oriented cylinders in a polymer matrix within the trenches according to an embodiment of the disclosure.
  • FIGS, 5A-6A illustrate elevational, cross-sectional views of a portion of the substrate depicted in FIGS. 5-6 taken along lines 5A-5A and 6A-6A, respectively.
  • FIGS. 5B-6B are cross-sectional views of the substrate depicted in F ⁇ GS. 5-6 taken along lines 5B-5B and 6B-6B, respectively.
  • FIGS. 7A-9A illustrate elevational, cross-sectional views of a portion of the substrate depicted in FIGS. 7-9 taken along lines 7A-7A to 9A-9A, respectively.
  • FIGS. 7B-9B are cross-sectional views of the substrate depicted in FIGS. 7-9 taken along lines 7B-7B to 9B-9B, respectively.
  • FIG, 10 is a diagrammatic top plan view of a portion of a substrate at a preliminary processing stage according to another embodiment of the disclosure, showing trenches in a material layer exposing the substrate
  • FIGS, I0A-10B are elevational, cross-sectional views of the substrate depicted in FIG. 10 taken along lines 10A- 1OA and 1 OB-I OB, respectively.
  • FIGS. 11-12 are diagrammatic lop plan views of the substrate of FIG. 10 at subsequent stages in the fabrication of a self-assembled block copolymer film within the trenches in the material layer.
  • FIGS. 11A-12A illustrate elevational, cross-sectional views of a portion of the substrate depicted in FIGS. 1 1 -12 taken along lines 1 IA-I IA and 12A- 12 A, respectively.
  • FIGS. 11B-12B are cross-sectional views of the substrate depicted in FIGS. 11-12 taken along lines 1 IB-I IB and 12B-12B, respectively,
  • FIGS. 13-15 are top plan views of the substrate of FIG, 12 at subsequent stages, illustrating the use of the self-assembled block copolymer film after removal of one of the polymer blocks, as a mask to etch the substrate and filling of the etched openings according to an embodiment of the disclosure.
  • FtGS. OA-I SA illustrate el ⁇ vational, cross-sectional views of a portion of the substrate depicted in FIGS. 13-15 taken along lines 13A-13A to 1 5A-15A, respectively.
  • FIGS, 13B-15B are cross-sectional views of the substrate depicted in FIGS. 13-15 taken along lines 13B-13B to 15B-15B, respectively.
  • FIG. 16 is a top plan view of a portion of the substrate of FIG. 12 according to another embodiment of the invention, showing a bilaycr wetting layer along the trench surfaces.
  • FIGS, 16A-16B axe clevational, cross-sectional views of the substrate depicted in FlG. 16 taken along lines 16A-16A and 16B-16B, respectively.
  • FIGS. 17-18 are top plan views of the substrate of FIG. 16 at subsequent stages, showing the removal of one of the polymer domains to form openings to the substrate according to another embodiment of the invention.
  • FJGS, 17A-18A illustrate elevational, cross-sectional views of a portion of the substrate depicted in FIGS. 17-18 taken along lines 17A-17 ⁇ to 18A-18A, respectively.
  • FIGS. 17B-18B are cross-sectional views of the substrate depicted in FIGS. 17-18 taken along lines 17B-17B to 18B-18B, respectively.
  • FIGS. 19-22 illustrate another embodiment of the invention for forming two rows of cylinders in a single trench.
  • FlG. 19 illustrates a top plan view of a portion of a substrate at a preliminary processing stage showing a trench in a material layer
  • FIGS, 19A-19B are elevational .
  • cross-sectional views of a portion of the substrate depicted in FIG. 19 taken, respectively, along lines 19A-19A and 19B-19B.
  • FIG, 20 is a top plan view of the substrate of FIG. 19 at a subsequent stage in the fabrication of a self-assembled cylindrical-phase block copolymer material within the trench according to an embodiment of the invention.
  • FIG. 21 is a top plan view of the substrate of FIG. 20 at a subsequent stage after removal of the cydrical domains of the block copolymer material.
  • FIG. 22 is a top plan view of FIG. 21 after etching of the substrate and filling of the etched openings.
  • FIGS. 20A-22A are elevational, cross-sectional views of the substrates of FIGS. 20-22 taken, respectively, along lines 20A-20A, 21A-21A and 22A-22A.
  • 20B-22B are clevational, cross-sectional views of the substrates of FIGS. 20-22, taken along lines 20B-20B, 21 B-21B and 22B-22B, respectively, showing both lines of cylinders.
  • semiconductor substrate or “semiconductive substrate” or “semi conductive wafer fragment” or “wafer fragment” or “wafer” will be understood to mean any construction comprising semiconductor material, including but not limited to hulk semiconductive materials such as a semiconductor wafer (either alone or in assemblies comprising other materials thereon), and semiconductive material layers (either alone or in assemblies comprising other materials).
  • substrate refers to any supporting structure including, but not limited to, the semiconductive substrates, wafer fragments or wafers described above.
  • L 0 as used herein is the inherent periodicity or pitch value (bulk period or repeat unit) of structures that self assemble upon annealing from a self-assembling (SA) block copolymer.
  • L B as used herein is the periodicity or pitch value of a blend of a block copolymer with one or more of its constituent homopolymers.
  • L is used herein to indicate the center-to-eentcr cylinder pitch or spacing of cylinders of the block copolymer or blend, and is equivalent to "L 0 " for a pure block copolymer and "L B " for a copolymer blend,
  • a polymer material e.g., film, layer
  • Block copolymer materials spontaneously assemble into periodic structures by microphase separation of the constituent polymer blocks after annealing, forming ordered domains at nanometer-scale dimensions.
  • a one-dimensional (1-D) array of perpendicular-oriented cylinders is formed within a trench. In other embodiments, two rows of cylinders can be formed in each trench.
  • the pattern of perpendicular-oriented cylinders that is formed on the substrate can then be used, for example, as an etch mask for patterning nanosized features into the underlying substrate through selective removal of one block of the self-assembled block copolymer.
  • L domain sizes and periods (L) involved in this method are determined by the chain length of a block copolymer (MW)
  • resolution can exceed other techniques such as conventional photolithography, Processing costs using the technique is significantly less than extreme ultraviolet (EiJV ) photolithography . , which has comparable resolution,
  • FIGS. 1-6 A method for fabricating a self-assembled block copolymer material that defines a one-diraensional (1 -D) array of nanometer-scale, perpendicular-oriented cylinders according to an embodiment of the invention is illustrated in FIGS. 1-6,
  • the described embodiment involves a thermal anneal of a cylindrical -phase block copolymer in combination with a graphoepitaxy technique that utilizes a lithographically defined trench as a guide with a floor composed of a material that is neutral wetting to both polymer blocks, and sidewalls and ends that are preferential wetting to one polymer block and function as constraints to induce the block copolymer to self-assemble into an ordered 1 ⁇ D array of a single row of cylinders in a polymer matrix oriented perpendicular to the trench floor and registered to the trench sidewalls.
  • two rows of cylinders can be formed in each trench.
  • the block copolymer or blend is constructed such that all of the polymer blocks will have equal preference for the air interface during the anneal.
  • dibloek copolymers include, for example, poly(styrene)-b-poly(methylmethacrylate) (PS-b-PMMA) or other PS-b-poly(acrylate) or PS-b-poly(methacrylate), poly(styrene) ⁇ b-poly(lactide) (PS-b-PLA) s and poly(styrene)-b-poly ⁇ tert-butyl acrylale) (PS-b-PtBA), among others,
  • PS-b-PMMA dibloek copolymers are used in the illustrated embodiment, other types of block copolymers (i.e., triblock or muitibloek copolymers . ) can be used. Examples of triblock copolymers include ABC copolymers, and ⁇ BA copoly
  • the L value of the block copolymer can be modified, for example, by adjusting the molecular weight of the block copolymer.
  • the block copolymer material can also be formulated as a binary or ternary blend comprising a block copolymer and one or more homopolymcrs (HPs) of the same type of polymers as the polymer blocks in the block copolymer, to produce a blend that will swell the size of the polymer domains and increase the L value.
  • the volume fraction of the homopolymers can range from 0 to about 60%.
  • a ternary diblock copolymer blend is a PS-b-PMMA/PS/PMMA blend, for example, 60% of 46K/21K PS-b-PMMA, 20% of 2OK polystyrene and 20% of 2OK poly(m ⁇ thyl methacrylatc).
  • a blend of PS-PEO and about 0-40% PEO homopolymer (HP) can also be used to produce perpendicular cylinders during a thermal anneal; it is believed that the added I 5 EO homopolymer may function, at least in part, to lower the surface energy of the PEO domains to that of PS.
  • the film morphology including the domain sizes and periods (L 0 ) of the microphase-scparat ⁇ d domains, can be controlled by chain length of a block copolymer (molecular weight, MW) and volume fraction of the AB blocks of a diblock copolymer to produce cylindrical morphologies (among others).
  • chain length of a block copolymer molecular weight, MW
  • volume fraction of the AB blocks of a diblock copolymer to produce cylindrical morphologies (among others).
  • the diblock copolymer will mierophase separate and self-assemble into periodic cylindrical domains of polymer B within a matrix of polymer A.
  • An example of a cylinder-forming PS-b-PMMA copolymer material (L O ⁇ 35 inn) to form about 20 nm diameter cylindrical PMMA domains in a matrix of PS is composed of about 70% PS and 30% PMMA with a total molecular weight (M n ) of 67 kg/mol.
  • a substrate 10 is provided, which can be silicon, silicon oxide, silicon nitride, silicon oxymlride, silicon oxycarbide, among other materials.
  • conductive lines 12 or other active area, e.g., semiconducting regions are situated within the substrate 10.
  • a single trench or multiple trenches can be formed in the substrate, and can span the entire width of an array of lines (or other active area).
  • the substrate 10 is provided with an array of conductive lines 12 (or other active areas) at a pitch of L.
  • the trench or trenches are formed over the active areas 12 (e.g., lines) such that when the block copolymer material is annealed, each cylinder will be situated above a single active area 12 (e.g., conductive line).
  • multiple trenches are formed with the ends 24 of each adjacent trench 18 aligned or slightly offset from each other at less than 5% of L such that cylinders in adjacent trenches are aligned and situated above the same line 12,
  • a neutral wetting material 14 e.g., random copolymer
  • a material layer 16 can then be formed over the neutral wetting material and etched to form trenches 18 that are oriented perpendicular to the array of conductive lines 12, as shown in FIGS. 2-2B. Portions of the material layer 16 form a spacer 20 outside and between the trenches.
  • the trenches 18 are structured with opposing sidewalls 22, opposing ends 24, a floor 26, a width (W 1 ), a length (/,-) and a depth (Di),
  • the material layer 16 f can be formed on the substrate 10', etched to form the trenches 18', and a neutral wetting material 14' can then be formed on the trench floors 26'.
  • a random copolymer material can be deposited into the trenches 18' and crosslinked to form a neutral wetting material layer. Material on surfaces outside the trenches such as on the spacers 20' (e.g., non-crosslinked random copolymer) can be subsequently removed.
  • Single or multiple trenches 18 can be formed using a lithographic tool having an exposure system capable of patterning at the scale of L (10-100 run).
  • exposure systems include, for example, extreme ultraviolet (EUV) lithography, proximity X-rays and electron beam (e-beam) lithography, as known and used in the art,
  • EUV extreme ultraviolet
  • e-beam electron beam
  • Conventional photolithography can attain (at smallest) aboirt 58 nm features
  • a method called "pitch doubling" or “pitch multiplication” can also be used for extending the capabilities of photolithographic techniques beyond their minimum pitch, as described, for example, in U.S. Pat. No. 5,328,810 (Lowrey et al), U.S. Pat. No. 7,115,525 (Abatchev, et al.), US 2006/0281266 (Wells) and US 2007/0023805 (Wells).
  • a pattern of lines is photolithographically formed in a photoresist material overlying a layer of an expendable material, which in turn overlies a substrate, the expendable material layer is etched to form placeholders or mandrels, the photoresist is stripped, spacers are formed on the sides of the mandrels, and the mandrels are then removed leaving behind the spacers as a mask for patterning the substrate.
  • the initial photolithography formed a pattern defining one feature and one space
  • the same width now defines two features and two spaces, with the spaces defined by the spacers.
  • the smallest feature size possible with a photolithographic technique is effectively decreased down to about 30 nm or less
  • Factors in forming a single (1 -D) array or layer of perpendicular-oriented nano-cyiind ⁇ rs within the trenches include the width (w.,) and depth (Di) of the trench, the formulation of the block copolymer or blend to achieve the desired pitch (L) 5 and the thickness (/) of the block copolymer material.
  • a block copolymer or blend having a pitch or L value of 35-nm deposited into a 75-nm wide trench having a neutral wetting floor will, upon annealing, result in a zigzag pattern of 35-nra diameter perpendicular cylinders that are offset by about one-half the pitch distance, or about 0.5*L) for the length (/.,) of the trench, rather than a single line row of perpendicular cylinders aligned with the sidewalls down the center of the trench.
  • the trenches 18 are constructed with a width [W 1 ) of about L to about 1,5*1. (or 1 .5 X the pitch value) of the block copolymer such that a cast block copolymer material (or blend) of about L will self assemble upon annealing into a single row of perpendicular cylinders with a center-to-center pitch distance of adjacent cylinders at or about L,
  • the width (W 1 ) of the trenches 18 can be about 1-1.5*50 nm or about 50-80 nm.
  • the length (/,) of the trenches is at or about nL or an integer multiple of L typically within a range of about n*l ⁇ to about «*100 nm (with n being the number of features or structures, e.g., cylinders).
  • the depth (D ( ) of the trenches 18 is greater than L (D>L).
  • the width of the spacers 20 between adjacent trenches can vary and is generally about L to about nL. In some embodiments, the trench dimension is about 20-100 nm wide [W 1 ) and about 100-25,000 nm in length (I 1 ), with a depth (£>,) of about 10-100 nm.
  • a self-assembling, cylindrical -phase block copolymer material 28 having an inherent pitch at or about L 0 for a ternary blend of block copolymer and homopoiymers blended to have a pitch at or about L B ) is deposited into the trenches 18 such that the thickness (/;) on the trench of the deposited block copolymer is generally at or about L after annealing and the block copolymer material will self assemble to form a single layer of cylinders across the width (W 1 ) of the trench,
  • a typical thickness (/ / ) of a cylindrical-phase PS-b-PMMA block copolymer material 28 within the trench is about + 20% of the L value of the block copolymer material (e.g., about 10-100 nm) to form cylinders having a diameter of about 0.5*L (e.g., 5-50 nm, or about 20 nm, for example; within a poly
  • the block copolymer material can be deposited by spin casting (spin-coating) from a dilute solution (e.g., about 0,25-2 wt% solution) of the copolymer in an organic solvent such as dichloroethane (CH 2 CI 2 ) or toluene, for example, Capillary forces pull excess block copolymer material 28 (e.g., greater than a monolayer) into the trenches 18, As shown, a thin layer or film 28a of the block copolymer material can be deposited onto the material layer 16 outside the trenches, e.g., on the spacers 20. Upon annealing, the thin film 28a will flow into the trenches leaving a structureless brush layer on the material layer 16 from a top-down perspective.
  • a dilute solution e.g., about 0,25-2 wt% solution
  • organic solvent such as dichloroethane (CH 2 CI 2 ) or toluene
  • Capillary forces pull excess block
  • the trench floors 26 are structured to be neutral wetting (equal affinity for both blocks of the copolymer) to induce formation of cylindrical polymer domains that are oriented perpendicular to the trench floors, and the trench sidewalks 22 and ends 24 are structured to be preferential wetting by one block of the block copolymer to induce registration of the cylinders to the sidewalls as the polymer blocks self- assemble.
  • the preferred or minority block of the cylindrical-phase block copolymer will self-assemble to form a single row of cylindrical domains in the center of a polymer matrix for the length of the trench and segregate to the sidewalls and edges of the trench to form a thin interface or wetting layer, as depicted in FIGS. 6-fiB, Entropic forces drive the wetting of a neutral wetting surface by both blocks, and etithalpic forces drive the wetting of a preferential-wetting surface by the preferred block (e.g., the minority block).
  • the material layer 16 can be composed of silicon (with native oxide), oxide (e.g., silicon oxide, SiO x ), silicon nitride, silicon oxycarbide, indium tin oxide (ITO), silicon oxynitride, and resist materials such as methacrylale-based resists and polydimethyl glutarimide resists, among other materials, which exhibit preferential wetting toward the PMMA block.
  • oxide e.g., silicon oxide, SiO x
  • silicon nitride silicon oxycarbide
  • ITO indium tin oxide
  • resist materials such as methacrylale-based resists and polydimethyl glutarimide resists, among other materials, which exhibit preferential wetting toward the PMMA block.
  • the copolymer material will self assemble to form a thin interface layer and cylinders of PMMA in a PS matrix
  • a preferential wetting material such as a polymethylmethacrylate (PMMA) polymer modified with an -OH containing moiety (e.g., hydroxyethylmethacrylate) can be applied onto the surfaces of the trenches, for example, by spin coating and then heating (e.g., to about 170 0 C) to allow the terminal OH groups to end-graft to oxide sidewalis 22 and ends 24 of the trenches, Non-grafted material can be removed by rinsing with an appropriate solvent (e.g., toluene). See, for example, Mansky et al., Science, 1997, 275, 1458-1460, and In et al, Lnngmuir, 2006, 22, 7855-7860,
  • PMMA polymethylmethacrylate
  • an -OH containing moiety e.g., hydroxyethylmethacrylate
  • a neutral wetting trench floor 26 allows both blocks of the copolymer material to wet the floor of the trench.
  • a neutral wetting material 14 can be provided by applying a neutral wetting polymer (e.g., a neutral wetting random copolymer) onto the substrate JO 5 forming the material layer 16 and then etching the trenches to expose the underlying neutral wetting material, as illustrated in FIGS, 2-2B.
  • a neutral wetting polymer e.g., a neutral wetting random copolymer
  • a neutral wetting random copolymer material can be applied after forming the trenches 18 ⁇ for example, as a blanket coat by easting or spin-coating into the trenches, as depicted in FIG. 4.
  • the random copolymer material can then be thermally processed to flow the material into the bottom of the trenches by capillary action, which results in a layer (mat) 14' composed of the crosslinked. neutral wetting random copolymer.
  • the random copolymer material within the trenches can be photo-exposed (e.g., through a mask or reticle) to crosslink the random copolymer within the trenches to form the neutral welting material 14'.
  • Non-crossiink ⁇ d random copolymer material outside the trenches e.g., on the spacers 20 ⁇
  • Neutral wetting surfaces can be specifically prepared by the application of random copolymers composed of monomers identical to those in the block copolymer and tailored such that the mole fraction of each monomer is appropriate to form a neutral wetting surface.
  • a neutral wetting material 14 can be formed from a thin film of a pholo-crosslinkable random PS:PMMA copolymer (PS-r-PMMA) which exhibits non-preferential or neutral wetting toward PS and PMMA (e.g., a random copolymer of PS-PMMA containing an about 0,6 mole fraction of styrene) which can be cast onto the substrate 10 (e.g., by spin coating).
  • the random copolymer material can be fixed in place by chemical grafting (on an oxide substrate) or by thermally or photolytically crosslinking (any surface) to form a mat that is neutral wetting to PS and PMMA and insoluble when the block copolymer material is cast onto it, due to the crosslinking.
  • a neutral wetting random copolymer of polystyrene (PS), polyroethacrylate (PM MA) with hydroxyl group(s) e.g., 2-hydroxy ethyl rnethacrylate (P(S-r-MMA-r-HEMA)) (e.g., about 58% PS)
  • a substrate 10 e.g., an oxide
  • a neutral wetting layer 14 about 5-10 nm thick by heating at about 160 0 C for about 48 hours. See, for example, In et a!.. Langmuir, 2006, 22, 7855-7860.
  • a surface that is neutral wetting to PS-b-PMMA can also be prepared by spin coating a blanket layer of a photo- or thermally cross-linkable random copolymer such as a benzoeyclobut ⁇ ne- or azidomethylstyrene-functionaliz ⁇ d random copolymer of styrene and methyl methacrylate (e.g., poly(styrene-r-benzocyclobutcne-r- methyl methacrylatc (PS-r-PMMA-r-BCB)).
  • a photo- or thermally cross-linkable random copolymer such as a benzoeyclobut ⁇ ne- or azidomethylstyrene-functionaliz ⁇ d random copolymer of styrene and methyl methacrylate (e.g., poly(styrene-r-benzocyclobutcne-r- methyl methacrylatc (PS-r-PMMA-r-BCB)
  • such a random copolymer can comprise about 42% PMMA, about (58-x)% PvS and x% (e.g., about 2-3%) of either polybenzocyclobutetie or poly(para-azidomethylsty ⁇ ene)).
  • An azidomethylstyrenc-functionalized random copolymer can be UV photo-cross linked (e.g., 1-5 MW/cm ⁇ 2 exposure for about 15 seconds to about 30 minutes) or thermally crosslinked (e.g., at about 170 0 C for about 4 hours) to form a crosslinked polymer mat as a neutral wetting layer 14.
  • a benzocyclobutene-functionalized random copolymer can be thermally cross-linked (e.g., at about 200 0 C for about 4 hours or at about 250 c 'C for about 10 minutes).
  • another neutral wetting surface for PS-b-PMMA can be provided by hydrogen-terminated silicon.
  • the floors 26 of the trenches 18 can be etched, for example, with a hydrogen plasma, to remove the oxide material and form hydrogen-terminated silicon, which is neutral wetting with equal affinity for both blocks of a block copolymer material.
  • H-terminated silicon can be prepared by a conventional process, for example, by a fluoride ion etch of a silicon substrate (with native oxide present, about 12-15 A) by exposure to an aqueous solution of hydrogen fluoride (HF) and buffered HF or ammonium fluoride (NH 4 F), by HF vapor treatment, or by a hydrogen plasma treatment (e.g., atomic hydrogen).
  • a fluoride ion etch of a silicon substrate with native oxide present, about 12-15 A
  • HF hydrogen fluoride
  • NH 4 F buffered HF or ammonium fluoride
  • HF vapor treatment e.g., atomic hydrogen
  • An H-tcrminated silicon substrate can be further processed by grafting a random copolymer such as PS-r- PMMA selectively onto the substrate resulting in a neutral wetting surface, for example, by an in situ free radical polymerization of styrene and methyl methacrylate using a di-oiefmic linker such divinyl benzene which links the polymer to the surface to produce about a 10-15 ran thick film.
  • a random copolymer such as PS-r- PMMA selectively onto the substrate resulting in a neutral wetting surface
  • a neutral wetting surface for PS-b-PMMA and PS-b ⁇ PEO can be provided by grafting a self-assembled monolayer (SAM) of a trichlorosilane-base SAM such as S-Cpara-methoxyphenyljpropyltrichorosiianc grafted to oxide (e.g., SiO ?. ) as described for example, by D. H. Park, Nanotechnology 1 8 (2007), p. 355304.
  • SAM self-assembled monolayer
  • the block copolymer material 28 is then thermally annealed (arrows j.) to cause the polymer blocks to phase separate and self assemble according to the preferential and neutral wetting of the trench surfaces to form a self-assembled polymer material 30.
  • Thermal annealing can be conducted at above the glass transition temperature of the component blocks of the copolymer material.
  • a PS-b-PMMA copolymer material can be globally annealed at a temperature of about 180-230 0 C in a vacuum oven for about 1-24 hours to achieve the self-assembled morphology.
  • the resulting morphology of the annealed copolymer material 30 can be examined, for example, using atomic force microscopy (AFM), transmission electron microscopy (TBM) 5 scanning electron microscopy (SEM).
  • AFM atomic force microscopy
  • TBM transmission electron microscopy
  • SEM scanning electron microscopy
  • a zone or localized thermal anneal can be applied to portions or sections of the copolymer material 28 on the substrate 10.
  • the substrate can be moved across a hot-to-cold temperature gradient 32 (FIG. 6A) positioned above or underneath the substrate (or the thermal source can be moved relative to the substrate, e.g., arrow ->) such that the block copolymer material self-assembles upon cooling after passing through the heat source. Only those portions of the block copolymer material that are heated above the glass transition temperature of the component polymer blocks will self-assemble, and areas of the material that were not sufficiently heated remain disordered and unassembled. "Pulling" the heated zone across the substrate can result in faster processing and better ordered structures relative to a global thermal anneal.
  • the cylindrical-phase block copolymer materia! 28 Upon annealing, the cylindrical-phase block copolymer materia! 28 will self-assemble into a polymer material 30 (e.g. film) composed of perpendicular-oriented cylinders 34 of one of the polymer blocks (e.g., PMMA) within a polymer matrix 36 of the other polymer block (e.g., PS).
  • a polymer material 30 e.g. film
  • the polymer blocks e.g., PMMA
  • a polymer matrix 36 of the other polymer block e.g., PS
  • the minority polymer block e.g., PMMA
  • the diameter of the cylinders 34 will generally be about one-half of the center-to-center distance between cylinders.
  • a layer of the minority block segregates to and wets the sidewails 22 and ends 24 of the trenches to form a thin wetting layer 34a with the thickness of the layer 34a being generally about one-fourth of the center-to-center distance between adjacent cylinders 34,
  • a layer of PMM ⁇ domains will wet oxide interfaces, with attached PS domains consequently directed away from the oxide material.
  • the self-assembled block copolymer material 30 is defined by an array of cylindrical domains (cylinders) 34, each with a diameter at or about 0.5* L 5 with the number (n) of cylinders in the row according to the length of the trench, and the c ⁇ nter-to-ccnter distance (pitch distance, /?) between each cylinder at or about L,
  • the copolymer material can be treated to crosslink the polymer segments (e.g., the PS segments) to fix and enhance the strength of the self-assembled polymer blocks.
  • the polymers can be structured to inherently crosslink (e.g., upon exposure to ultraviolet (IJV) radiation, including deep ultraviolet (DUV) radiation), or one of the polymer blocks of the copolymer materia ⁇ can be formulated to contain a crosslinking agent,
  • the film 28a outside the trenches will not be not thick enough to result in self-assembly.
  • the unstructured thin film 28a of the block copolymer material outside the trenches e.g., on spacers 20
  • the trench regions can be selectively exposed through a reticle (not shown) to crosslink only the annealed and self-assembled polymer material 30 within the trenches 18, and a wash can then be applied with an appropriate solvent (e.g., toluene) to remove the non-crosslinked portions of the block copolymer material 28s (e.g., on the spacers 20), leaving the registered self-assembled polymer material within the trench and exposing the surface of the material layer 16 above/outside the trenches.
  • an appropriate solvent e.g., toluene
  • the annealed polymer material 30 can be crosslinked globally, a photoresist material can be applied to pattern and expose the areas of the polymer material 28a outside the trench regions, and the exposed portions of the polymer material 28a can be removed, for example by an oxygen (O 2 ) plasma treatment.
  • O 2 oxygen
  • the self-assembled polymer material 30 Is as an etch mask to form openings in the substrate .10.
  • the cylindrical polymer domains 34 of the self-assembled polymer material 3 ⁇ can be selectively removed resulting in a polymer matrix 36 with openings 40 exposing the trench floor.
  • PMMA domains can be selectively removed by UV exposure/acetic acid development or by selective reactive ion etching (RIE).
  • RIE reactive ion etching
  • PSj matrix 36 can then be used as a mask to etch (arrows ⁇ j.) a series of openings or contact holes 42 to the conductive lines 12, semiconducting regions, or other active area in the underlying substrate 10 (or an imderlayer), as depicted in FIGS, 8-8B, for example, using a selective reactive ion etching (RIE) process.
  • RIE reactive ion etching
  • the residual matrix 36 can be removed and the substrate openings 42 can be filled with a material 44 such as a metal or metal alloy such as Cu, Al, W, Si, and T13 N 4 , among others, to form arrays of cylindrical contacts to the conductive lines 12,
  • the cylindrical openings 42 in the substrate can also be filled with a metal-insulator-metal stack to form capacitors with an insulating material such as SiO?, AI 2 O 3 , HfO?, ZrO 2 , SrIlO 3 , and the like.
  • Another embodiment of a method according to the invention utilizes a solvent anneal in combination with a graphoepitaxy technique to induce ordering and registration of a cylindrical-phase block copolymer material within a trench, as depicted in FIGS, I0-15,to form a 1-D array of a single row of perpendicular-oriented cylinders in a polymer matrix.
  • the diblock copolymer is constructed such that both polymer blocks will wet the air interface during the solvent anneal.
  • diblock copolymers include poly(styrcnc)-b-poly(ethylcn ⁇ oxide) (PS-b-PEO); a PS-b-PEO block copolymer having a eleavab Ie junction such as a triphenylmethyl (trityl) ether linkage between PS and PEO blocks (optionally complexed with a dilute concentration (e.g., about 1 %) of a salt such as KCl, KL LiCl, LiI 5 CsCl or CsI (Zhang et aL Adv. Mater. 2007.
  • a salt such as KCl, KL LiCl, LiI 5 CsCl or CsI
  • PS-b-PMMA block copolymer doped with PCO-coated gold nanoparticles of a size less than the diameter of the self-assembled cylinders Park et al, Macromolecules. 2007, 40(11), 81 19-8124
  • PS-b-PMMA p ⁇ !y(styrene)-b ⁇ po!y(methylmethacryiat ⁇ )
  • PS-b-PMMA p ⁇ !y(styrene)-b ⁇ po!y(methylmethacryiat ⁇ )
  • PS-b-PMMA or other PS-b-poly(acrylate) or PS-b-poly(methacrylate
  • poly(styrene)-b ⁇ poly(lactide) PS-b-PLA
  • PS-b-PVP poly(styrene)-b-poly(vinylpyridine)
  • PS ⁇ b-PtBA poly(styrene)-b-poly( ⁇ thylene-co-buty
  • tri block copolymers examples include ABC polymers such as poly(styrene-b-methyl methacrylate-b-ethylene oxide) (PS-b-PMMA-b-PEO), and ABA copolymers such as PS-b- Pl-b-PS.
  • ABC polymers such as poly(styrene-b-methyl methacrylate-b-ethylene oxide) (PS-b-PMMA-b-PEO)
  • ABA copolymers such as PS-b- Pl-b-PS.
  • each of the trench surfaces (e.g., sid ⁇ walls 22", ends 24", floor 26") is structured to be preferential wetting to the minority block of the PS-b-PEQ block copolymer material (e.g., PRO).
  • PS-b-PEQ block copolymer material e.g., PRO
  • the trenches 18" are also structured with a width (wi) that is about 1-1.5* L or 1 to I 1 A times the pitch value of the block copolymer material.
  • a width (wi) that is about 1-1.5* L or 1 to I 1 A times the pitch value of the block copolymer material.
  • the trench is constructed to have a width (w t ) of about 50 nm.
  • the depth (D 1 ) of the trenches can be at or about L.
  • a substrate 10" is shown with conductive lines 12" (or other active area) and an overlying material layer 16" in which trenches 18" have been etched.
  • the substrate 10" and material layer 16" defining the trench surfaces can be a material that is inherently preferential wetting to one of the polymer blocks, or in other embodiments, a preferential wetting material can be applied onto the surfaces of the trenches.
  • the substrate 10" and material layer 16" can be formed of silicon (with native oxide), oxide (e.g., silicon oxide, SiO x ), silicon nitride, silicon oxycarbide, indium tin oxide (ITO), silicon oxynitride, and resist materials such as such as methacrylate-based resists, among other materials, which exhibit preferential wetting toward the PEO block,
  • oxide e.g., silicon oxide, SiO x
  • silicon nitride silicon nitride
  • silicon oxycarbide silicon oxycarbide
  • ITO indium tin oxide
  • silicon oxynitride silicon oxynitride
  • resist materials such as such as methacrylate-based resists, among other materials, which exhibit preferential wetting toward the PEO block
  • ⁇ cylindrical-phase PS-b-PEO block copolymer material 28" (or blend with homopolymersj having an inherent pitch at or about L can be deposited into the trenches IH", as shown in FIGS. 11-llB, With the use of a solvent anneal, the thickness (t:) of the block copolymer material deposited into the trench can be about the L value of the material or greater, e.g., up to about 1000% of the L value.
  • the volume fractions of the two blocks ( ⁇ B) of the PS-b-PEQ diblock copolymer are generally at a ratio of about 60:40 and 80:20, such that the block copolymer will microphase separate and self-assemble into cylindrical domains of polymer B (i.e., PEO) within a matrix of polymer A (i.e., PS).
  • diblock copolymers are used in the illustrative embodiment, triblock or multiblock copolymers can also be used,
  • the PS-b-PEO block copolymer material can also be formulated as a binary or ternary' blend comprising a PS-b-PEO block copolymer and one or more homopoiymcrs (i.e., polystyrene (PS) and polyethylene oxide (PEO) to produce blends that swell the size of the polymer domains and increase the L value of the polymer.
  • the volume fraction of the homopoiymcrs can range from 0 to about 40%.
  • An example of a ternary diblock copolymer blend is a PS-b-PEO/PS/PEO blend.
  • the L value of the polymer can also be modified by adjusting the molecular weight of the block copolymer.
  • PS-b-PEO block copolymer material 28" is then solvent annealed (arrows J) 5 to form a self-assembled polymer material 30", as illustrated in FIGS. 12-12B.
  • the block copolymer material is swollen by exposure to a vapor of a "good" solvent for both blocks, for example, benzene, chloroform or a chloroform/octane mixture.
  • the block copolymer material 28" is exposed to the solvent vapors to slowly swell both polymer blocks (PS, PEO) of the material.
  • PS, PEO polymer blocks
  • the solvent and solvent vapors are then allowed to slowly diffuse out of the swollen polymer material and evaporate.
  • the solvent-saturated vapor maintains a neutral air interface 46" with the copolymer material 28", which induces the formation of perpendicular features throughout the copolymer material.
  • a solvent anneal can be conducted in high humidity (e.g., about 70-85%) with water condensation on the film, which cools as the solvent (e.g., benzene) evaporates.
  • the cylinders have a diameter at or about 0,5*L (e.g., about one-half of the center-to-center distance between cylinders), the number ( «) of cylinders in the row is according to the length (/,) of the trench, and the centcr-to-center distance (pitch distance, p) between cylinder domains is at or about L,
  • the annealed and ordered polymer material 30" can be treated to crosslink the polymer segments (e.g., the PS matrix 36").
  • the unstructured thin film 28a" of the block copolymer material outside the trenches can then be optionally removed, as shown in FIGS. 12-12B.
  • the self-assembled polymer material 30" (optionally cross-linked) can then be processed to form, for example, an etch mask for use in etching openings in the substrate or underling material layer, by the selective removal of one of the polymer domains (e.g., PS or PEO),
  • the water-soluble PEO cylindrical domains 34" can be selectively removed to produce openings 40" in the PS material layer 36" that can be used, for example, as a lithographic template or mask to etch openings 42" in the underlying substrate 10" (FIGS, 14-14B) for semiconductor processing in the nanometer size range (i.e., about 10- 100 nm).
  • Removal of the PEO phase domains 34" can be performed, for example, by exposure of the self-assembled block copolymer material 3 ⁇ " (optionally cross-linked) to aqueous hydroiodie acid or exposure to water alone, which will draw PEO to the surface without cleaving the bonds to the PS domains.
  • the PS ⁇ b ⁇ PEQ block copolymer includes an acid-cleavable linker (e.g., trityl alcohol linker) positioned between the polymer blocks
  • exposure of the crossHnked polymer material 30" to an aqueous acid (e.g., tritluoro acetic acid) or to an acid vapor can be performed to cleave the polymer into PEO and PS fragments (S.
  • the residual polymer matrix 36" can then be removed and the openings 42" that have been formed in the substrate can be filled with a desired material 44".
  • FIG. 1 Another embodiment of a method according to the invention utilizes a thermal anneal in combination with a cylindrical-phase, block copolymer material comprising polylactide (or polylactic acid) and graphoepitaxy to form a single row, 1-D array of perpendicular-oriented cylinders in a polymer matrix.
  • polylactide block copolymer materials include poly(styrene)-b-poly(lactide) (or poly(laetic acid)) (PS-b-PLA),
  • the described embodiment eliminates the formation of a neutral wetting material on the trench floor, thus reducing the number of processing steps. It also utilizes a thermal anneal process, which can provide faster processing than with a solvent anneal.
  • polylactic acid a biodegradable, thermoplastic aliphatic polyester
  • the trench surfaces e.g., sidewalis, ends, floor
  • the trench surfaces are structured using the same or highly similar material that is preferential wetting to the minority block, e.g., the PLA block of a PS-b-PLA copolymer material.
  • the substrate 10" and material layer 16" can be formed from a material that is inherently preferential wetting to the PLA block, or in other embodiments, a preferential wetting material can be applied onto the surfaces of the trenches 18", with the same or closely similar materia! being used to define the sidewalls 22", ends 24" and floor 26" of the trenches.
  • materials that are preferential wetting to the PLA block of a PS-b-PL ⁇ block copolymer include oxide (e.g., silicon oxide, SiO x ), silicon (with native oxide), silicon nitride, silicon oxycarbide, indium tin oxide (ITO), silicon oxynitride, and resist materials such as such as m ⁇ thacrylate-based resists, among other materials.
  • oxide e.g., silicon oxide, SiO x
  • silicon with native oxide
  • silicon nitride silicon nitride
  • silicon oxycarbide silicon oxycarbide
  • ITO indium tin oxide
  • resist materials such as such as m ⁇ thacrylate-based resists, among other materials.
  • the trenches 18" are structured with a width (W 1 ) that is at about 1.5*L value of the PS-b-PLA copolymer material, a length (J t ) at or about ?zL 0 (where n - number of cylinders), and a depth (D 1 ) at greater than L (Dp > L) such that a cylindrical-phase block copolymer (or blend) that is cast into the trench to a thickness of about the inherent L value of the copolymer material will self assemble upon annealing into a single layer of n cylinders according to the length (/,) of the trench, the cylinders with a diameter at or about 0.5*L, and a center-to-center distance (p) of adjacent cylinders at or about L.
  • a cylindrical-phase PS-b-PLA block copolymer material 28" (or triblock or rnultiblock copolymers or blend with homopolyraers) having an inherent pitch at or about L can be deposited into the trenches 18", as shown in FIGS, 11-1 JB.
  • both polymer blocks e.g., PLA and PS
  • the minority block e.g., PLA
  • the wetting layer 34a"' is a bilaycr of PLA 48a"' and PS 4Sb" ( .
  • the PS 48b" ' portion of the wetting layer (depicted with broken lining - - -) is continuous with the overall PS matrix 36'", as shown.
  • thermal annealing of the block copolymer material 28'" in combination with the constraints provided by the width (w f ) of the trench 18'", the preferential wetting trench surfaces 22"', 24'" 26"' and the composition of the block copolymer causes the minority polymer block (e.g., PLA block) to self assemble to form perpendicular-oriented cylindrical domains 34'" in a single row within a matrix 36" ! of the majority polymer block (e.g., PS), with the PLA 48a"7PS 48b” ! bilayer along the trench surfaces 22'", 24" f , 26"'.
  • the block copolymer material 28"' can be "zone annealed" as previously described.
  • the PLA cylindrical domains 34"' extend from the air interface 46'" to the wetting layer 34s'" composed of the PL A/PS bilayer 48a"748h” f overlying the surface of the substrate 10 s " at the trench floor 26'".
  • the PS layer 48b"' which is covalently bonded to the PLA layer 48a' " 5 is in contact with the PS block (matrix 26'), which in turn is covalently bonded to the PLA cylinder domains 34'"
  • Polymer segments (e.g., the PS matrix 36'") of the annealed polymer material 30"' may optionally be crosslinked, and any unstructured polymer material 28s'" on surfaces outside the trenches can then be optionally removed, as depicted in FIGS. 16-16B.
  • the polymer material 30"' can then be further processed as desired, for example, to form a mask to etch openings 42' in the substrate 10'".
  • the PLA cylinders 34'" can be selectively removed, for example, using UV exposure and an acetic acid wash, or an aqueous methanol mixture containing sodium hydroxide to form cylindrical-shaped openings 40'" extending through the PS matrix. Due to the PLA/PS bilayer 48a"', 48b'" that overlies the trench floor, the openings 4 ⁇ " f do not extend all the way to the surface of the substrate 10'" at the trench floor 26"'.
  • an RIE etching process (arrows 1), fo r example, can be conducted to remove the bilayer material and expose the trench floors 26"' and the substrate 10"' within the openings 40'".
  • the RIE etch may thin the matrix (mask) 36"', as shown, although not to a significant extent.
  • the matrix 30" can then be used as a mask to etch cylindrical-shaped openings 42" in the substrate down to an active area such as a conductive line 12" or to semi-conducting regions, etc.
  • the remnants of the etch mask 36" can be subsequently removed and the openings 42" can be filled as desired, as described with respect to FIGS. 15-15B.
  • the trenches are constructed with a width (w,) of about 1.75-2.5 *L of the block copolymer such that, upon annealing, a block copolymer material or blend of about L will self-assemble into two rows of perpendicular cylinders with each cylinder being offset to form a zigzag pattern, and the eenter-to-ccnter pitch distance between adjacent cylinders at or about one-half L ( ⁇ 0.5*L).
  • w width
  • L the block copolymer material or blend of about L will self-assemble into two rows of perpendicular cylinders with each cylinder being offset to form a zigzag pattern, and the eenter-to-ccnter pitch distance between adjacent cylinders at or about one-half L ( ⁇ 0.5*L).
  • a trench 18 in the use of a cylinder-forming block copolymer material or blend with an L (pitch) value of about 40 nm, a trench 18 can be constructed with a width (w,) about 70-100 nm wide (or according to 1 + ((square root of 3V2) * L).
  • the length (/,) of the trench can be at or about [1 -t- 0.5 (n-l )] * L, where n equals the number of cylinders in the trench.
  • the depth (D 1 ) of the trench 18"" can be greater than L (A>L) for embodiments employing a thermal anneal of the block copolymer (e.g., FIGS, 2-8) or at or about L (D t ⁇ L) for embodiments utilizing a solvent anneal process (e.g., FIGS. 11-14),
  • the ends 24"" can be angled or beveled as depicted by the dashed line 50 in FlG. 20,
  • the dimensions of the trench 18"" can be, for example, about 70400 nm wide (W 1 ), about 100-25,000 nni long (l t ), and about 40-200 nm deep (D 1 ).
  • any of the above-described cylindrical-phase block copolymers e.g., PS-b-PMMA, PS-b-PEO, PS-b-PLA, etc.
  • PS-b-PMMA, PS-b-PEO, PS-b-PLA, etc. can be deposited within the trench 18"", and thermal or solvent annealed as previously described.
  • the trench 18"" is fabricated with the appropriate neutral or preferential wetting surface on the sidewalk 22"", ends 24"", and trench floor 26"", to drive the block copolymer to self-assemble into perpendicular-oriented cylinders 34"" upon annealing, as depicted in FIGS, 2 ⁇ -2 ⁇ B,
  • the resulting cylinders 34"" are formed in a staggered two-row arrangement parallel to the sidewalk 22"" in which the center-to-cent ⁇ r pitch distance (p) of adjacent cylinders 34"" within a row is at or about 0.5*L
  • FIG. 2OB illustrates a schematic cross-sectional, elevationai view of both rows of cylinders in relation to the underlying lines 12"".
  • the self-assembled polymer film can then be processed to form a mask (FIGS. 21-21B) by removing the cylinder domains 34"" (e.g., PMMA) leaving a polymer matrix 36"" (e.g., PS) with cylindrical openings 40"" to the underlying substrate 10"", which can then be etched to form openings 42"" (shown in phantom) to "buried" active areas (e.g., lines 12"") and the openings 42"" can then be filled (FIGS. 22-22B) with a desired material 44"", e.g., metal, to form, for example, a contact to underlying lines 12"".
  • a desired material 44 e.g., metal
  • the feature size of the conductive lines 12"" is less than the diameter of the cylinders 34"" (e.g., by about 50%) such that a variance in the diameter of the cylinders 34"" and the subsequently formed cylindrical openings 42"" avoids electrical shorts that can occur from overlapping diameters of adjacent cylinders,
  • contact openings 42"" can be etched into a substrate to a denser array of buried lines 12"" than with an embodiment utilizing a single row of cylinders (e.g., FJG, 6) for a given block copolymer pitch L, With the contacts 44" " being offset, each contact 44"” can be connected to a single conductive line 12"" to address the lines individually.
  • a single row of cylinders e.g., FJG, 6
  • Methods of the disclosure provide a means of generating self-assembled diblock copolymcr films composed of perpendicular-oriented cylinders in a polymer matrix.
  • the methods provide ordered and registered elements on a nanometer scale that can be prepared more inexpensively than by electron beam lithography, EUV photolithography or conventional photolithography.
  • the feature sizes produced and accessible by this invention cannot be easily prepared by conventional photolithography.
  • the described methods and systems can be readily employed and incorporated into existing semiconductor manufacturing process flows and provide a low cost, high-throughput technique for fabricating small structures.

Abstract

Methods for fabricating sublithographic, nanoscalc microstructures in one-dimensional arrays utilizing self-assembling block copolymers, and films and devices formed from these methods are provided.

Description

ONE-DIMENSIONAL ARRAYS OF BLOCK COPOLYMER CYLINDERS
8>ϊ
Embodiments of the invention relate to methods of fabricating thin films of self-assεmbling block copolymers, and devices resulting from those methods.
Λs the development of nanoscale mechanical, electrical, chemical and biological devices and systems increases, new processes and materials are needed io fabricate nanoscale devices and components. Making electrical contacts to conductive lines has become a significant challenge as the dimensions of semiconductor features shrink, to sizes that are not easily accessible by conventional lithography, Optical lithographic processing methods have difficulty fabricating structures and features at the sub-30 nanometer level. The use of self assembling diblock copolymers presents another route to patterning at nanoscale dimensions. Diblock copolymer films spontaneously assembly into periodic structures by mierophasε separation of the constituent polymer blocks after annealing, for example by thermal annealing above the glass transition temperature of the polymer or by solvent annealing, forming ordered domains at nanometer-scaSe dimensions.
The film morphology, including the size and shape of the microphase-separated domains, can be controlled by the molecular weight and volume fraction of the AB blocks of a diblock copolymer to produce lamellar, cylindrical, or spherical morphologies, among others. For example, for volume fractions at ratios greater than about 80:20 of the two blocks (AB) of a diblock polymer, a block copolymer film will microphase separate and self-assemble into a periodic spherical domains with spheres of polymer B surrounded by a matrix of polymer A. For ratios of the two blocks between about 60:40 and 80:20, the diblock copolymer assembles into a periodic hexagonal close-packed or honeycomb array of cylinders of polymer B within a matrix of polymer A. For ratios between about 50:50 and 60:40, lamellar domains or alternating stripes of the blocks are formed. Domain size typically ranges from 5-50 run. Researchers have reported producing a 1-D array of spheres of the minority block of a block copolymer in a matrix of the majority block by iemplating a spherical-morphology block copolymer within a narrow groove. However, a 1-D array of spheres provides a poor etch mask structure where, even if the sphere material can be removed, there is little aspect ratio to the remaining porous film. In addition, the spheres in adjacent grooves were offset along the _y-axis and not aligned. Moreover, applications for forming structures in an underlying substrate for semiconductor systems require a complex layout of elements for forming contacts, conductive lines and/or other elements such as DRAM capacitors,
|OΘO5] It would be useful to provide methods of fabricating films of one-dimensional arrays of ordered nanostructures that overcome these problems.
BRIEF PEvSCMFTK)N OF THE DRAWINGS
[00061 Embodiments of the invention are described below with reference to the following accompanying drawings, which are for illustrative purposes only, Throughout the following views, the reference numerals will be used in the drawings, and the same reference numerals will be used throughout the several views and in the description to indicate same or like parts.
[0007] FIG. 1 illustrates a diagrammatic top plan view of a portion of a substrate at a preliminary processing stage according to an embodiment of the present disclosure, showing the substrate with a neutral wetting material thereon, FIGS. IA-I B are elevational, cross-sectional views of the substrate depicted in FIG. 1 taken along lines IA- IA and IB- IB, respectively.
FlG, 2 illustrates a diagrammatic top plan view of the substrate of FIG. 1 at a subsequent stage showing the formation of trenches in a material layer formed on the neutral wetting material. FIGS. 2A-2B illustrate elevational, cross-sectional views of a portion of the substrate depicted in FIG. 2 taken, respectively, along lines 2A-2A and 2B-2B,
FIG, 3 illustrates a side elevational view of a portion of a substrate at a preliminary processing stage according to another embodiment of the disclosure, showing the substrate with trenches in a material layer formed on the substrate. FlG. 4 illustrates a side elevational view of the substrate of FIG. 3 at a subsequent stage showing the formation of a neutral wetting material within the trenches.
FIGS. 5-6 are diagrammatic top plan views of the substrate of FIG. 2 at subsequent stages in the fabrication of a self-assembled block copolymer film composed of a single row of perpendicular oriented cylinders in a polymer matrix within the trenches according to an embodiment of the disclosure. FIGS, 5A-6A illustrate elevational, cross-sectional views of a portion of the substrate depicted in FIGS. 5-6 taken along lines 5A-5A and 6A-6A, respectively. FIGS. 5B-6B are cross-sectional views of the substrate depicted in FΪGS. 5-6 taken along lines 5B-5B and 6B-6B, respectively.
[00111 FΪGS. 7-9 are top plan views of the substrate of FIG. 6 at subsequent stages, illustrating an embodiment of the use of the self-assembled block copolymer film after removal of one of the polymer blocks, as a mask to etch the substrate and filling of the etched openings. FIGS. 7A-9A illustrate elevational, cross-sectional views of a portion of the substrate depicted in FIGS. 7-9 taken along lines 7A-7A to 9A-9A, respectively. FIGS. 7B-9B are cross-sectional views of the substrate depicted in FIGS. 7-9 taken along lines 7B-7B to 9B-9B, respectively.
[0012] FIG, 10 is a diagrammatic top plan view of a portion of a substrate at a preliminary processing stage according to another embodiment of the disclosure, showing trenches in a material layer exposing the substrate, FIGS, I0A-10B are elevational, cross-sectional views of the substrate depicted in FIG. 10 taken along lines 10A- 1OA and 1 OB-I OB, respectively.
[0013] FIGS. 11-12 are diagrammatic lop plan views of the substrate of FIG. 10 at subsequent stages in the fabrication of a self-assembled block copolymer film within the trenches in the material layer. FIGS. 11A-12A illustrate elevational, cross-sectional views of a portion of the substrate depicted in FIGS. 1 1 -12 taken along lines 1 IA-I IA and 12A- 12 A, respectively. FIGS. 11B-12B are cross-sectional views of the substrate depicted in FIGS. 11-12 taken along lines 1 IB-I IB and 12B-12B, respectively,
FIGS. 13-15 are top plan views of the substrate of FIG, 12 at subsequent stages, illustrating the use of the self-assembled block copolymer film after removal of one of the polymer blocks, as a mask to etch the substrate and filling of the etched openings according to an embodiment of the disclosure. FtGS. OA-I SA illustrate elεvational, cross-sectional views of a portion of the substrate depicted in FIGS. 13-15 taken along lines 13A-13A to 1 5A-15A, respectively. FIGS, 13B-15B are cross-sectional views of the substrate depicted in FIGS. 13-15 taken along lines 13B-13B to 15B-15B, respectively.
[0015] FIG. 16 is a top plan view of a portion of the substrate of FIG. 12 according to another embodiment of the invention, showing a bilaycr wetting layer along the trench surfaces. FIGS, 16A-16B axe clevational, cross-sectional views of the substrate depicted in FlG. 16 taken along lines 16A-16A and 16B-16B, respectively.
[00161 FIGS. 17-18 are top plan views of the substrate of FIG. 16 at subsequent stages, showing the removal of one of the polymer domains to form openings to the substrate according to another embodiment of the invention. FJGS, 17A-18A illustrate elevational, cross-sectional views of a portion of the substrate depicted in FIGS. 17-18 taken along lines 17A-17Λ to 18A-18A, respectively. FIGS. 17B-18B are cross-sectional views of the substrate depicted in FIGS. 17-18 taken along lines 17B-17B to 18B-18B, respectively.
[0017] FIGS. 19-22 illustrate another embodiment of the invention for forming two rows of cylinders in a single trench. FlG. 19 illustrates a top plan view of a portion of a substrate at a preliminary processing stage showing a trench in a material layer, FIGS, 19A-19B are elevational., cross-sectional views of a portion of the substrate depicted in FIG. 19 taken, respectively, along lines 19A-19A and 19B-19B.
FIG, 20 is a top plan view of the substrate of FIG. 19 at a subsequent stage in the fabrication of a self-assembled cylindrical-phase block copolymer material within the trench according to an embodiment of the invention. FIG. 21 is a top plan view of the substrate of FIG. 20 at a subsequent stage after removal of the cydrical domains of the block copolymer material. FIG. 22 is a top plan view of FIG. 21 after etching of the substrate and filling of the etched openings. FIGS. 20A-22A are elevational, cross-sectional views of the substrates of FIGS. 20-22 taken, respectively, along lines 20A-20A, 21A-21A and 22A-22A. FIGS. 20B-22B are clevational, cross-sectional views of the substrates of FIGS. 20-22, taken along lines 20B-20B, 21 B-21B and 22B-22B, respectively, showing both lines of cylinders. DETAILED DESCRIPTION QF THE INVENTION
[0019] The following description with reference to the drawings provides illustrative examples of devices and methods according to embodiments of the invention. Such description is for illustrative purposes only and not for purposes of limiting the same.
In the context of the current application, the term "semiconductor substrate" or "semiconductive substrate" or "semi conductive wafer fragment" or "wafer fragment" or "wafer" will be understood to mean any construction comprising semiconductor material, including but not limited to hulk semiconductive materials such as a semiconductor wafer (either alone or in assemblies comprising other materials thereon), and semiconductive material layers (either alone or in assemblies comprising other materials). The term "substrate" refers to any supporting structure including, but not limited to, the semiconductive substrates, wafer fragments or wafers described above.
II] "L0" as used herein is the inherent periodicity or pitch value (bulk period or repeat unit) of structures that self assemble upon annealing from a self-assembling (SA) block copolymer. '"LB" as used herein is the periodicity or pitch value of a blend of a block copolymer with one or more of its constituent homopolymers. "L" is used herein to indicate the center-to-eentcr cylinder pitch or spacing of cylinders of the block copolymer or blend, and is equivalent to "L0" for a pure block copolymer and "LB" for a copolymer blend,
[0022] Sn embodiments of the invention, a polymer material (e.g., film, layer) is prepared by guided self-assembly of block copolymers, with both polymer domains at the air interface. Block copolymer materials spontaneously assemble into periodic structures by microphase separation of the constituent polymer blocks after annealing, forming ordered domains at nanometer-scale dimensions. In embodiments of the invention, a one-dimensional (1-D) array of perpendicular-oriented cylinders is formed within a trench. In other embodiments, two rows of cylinders can be formed in each trench. Following self assembly, the pattern of perpendicular-oriented cylinders that is formed on the substrate can then be used, for example, as an etch mask for patterning nanosized features into the underlying substrate through selective removal of one block of the self-assembled block copolymer. Since the domain sizes and periods (L) involved in this method are determined by the chain length of a block copolymer (MW), resolution can exceed other techniques such as conventional photolithography, Processing costs using the technique is significantly less than extreme ultraviolet (EiJV ) photolithography., which has comparable resolution,
[0023] A method for fabricating a self-assembled block copolymer material that defines a one-diraensional (1 -D) array of nanometer-scale, perpendicular-oriented cylinders according to an embodiment of the invention is illustrated in FIGS. 1-6,
The described embodiment involves a thermal anneal of a cylindrical -phase block copolymer in combination with a graphoepitaxy technique that utilizes a lithographically defined trench as a guide with a floor composed of a material that is neutral wetting to both polymer blocks, and sidewalls and ends that are preferential wetting to one polymer block and function as constraints to induce the block copolymer to self-assemble into an ordered 1~D array of a single row of cylinders in a polymer matrix oriented perpendicular to the trench floor and registered to the trench sidewalls. In some embodiments, two rows of cylinders can be formed in each trench.
sj The block copolymer or blend is constructed such that all of the polymer blocks will have equal preference for the air interface during the anneal. For a thermal anneal, such dibloek copolymers include, for example, poly(styrene)-b-poly(methylmethacrylate) (PS-b-PMMA) or other PS-b-poly(acrylate) or PS-b-poly(methacrylate), poly(styrene)~b-poly(lactide) (PS-b-PLA)s and poly(styrene)-b-poly{tert-butyl acrylale) (PS-b-PtBA), among others, Although PS-b-PMMA dibloek copolymers are used in the illustrated embodiment, other types of block copolymers (i.e., triblock or muitibloek copolymers.) can be used. Examples of triblock copolymers include ABC copolymers, and ΛBA copolymers (e.g., PS-PMMA-PS and PMMA-PS-PMMA).
[0026] The L value of the block copolymer can be modified, for example, by adjusting the molecular weight of the block copolymer. The block copolymer material can also be formulated as a binary or ternary blend comprising a block copolymer and one or more homopolymcrs (HPs) of the same type of polymers as the polymer blocks in the block copolymer, to produce a blend that will swell the size of the polymer domains and increase the L value. The volume fraction of the homopolymers can range from 0 to about 60%. An example of a ternary diblock copolymer blend is a PS-b-PMMA/PS/PMMA blend, for example, 60% of 46K/21K PS-b-PMMA, 20% of 2OK polystyrene and 20% of 2OK poly(mεthyl methacrylatc). A blend of PS-PEO and about 0-40% PEO homopolymer (HP) can also be used to produce perpendicular cylinders during a thermal anneal; it is believed that the added I5EO homopolymer may function, at least in part, to lower the surface energy of the PEO domains to that of PS.
[0027] The film morphology, including the domain sizes and periods (L0) of the microphase-scparatεd domains, can be controlled by chain length of a block copolymer (molecular weight, MW) and volume fraction of the AB blocks of a diblock copolymer to produce cylindrical morphologies (among others). For example, for volume fractions at ratios of the two blocks generally between about 60:40 and 80:20, the diblock copolymer will mierophase separate and self-assemble into periodic cylindrical domains of polymer B within a matrix of polymer A. An example of a cylinder-forming PS-b-PMMA copolymer material (LO~35 inn) to form about 20 nm diameter cylindrical PMMA domains in a matrix of PS is composed of about 70% PS and 30% PMMA with a total molecular weight (Mn) of 67 kg/mol.
[0028] As depicted in FΪGS. 1-IB, a substrate 10 is provided, which can be silicon, silicon oxide, silicon nitride, silicon oxymlride, silicon oxycarbide, among other materials. As further depicted, conductive lines 12 (or other active area, e.g., semiconducting regions) are situated within the substrate 10.
In any of the described embodiments, a single trench or multiple trenches can be formed in the substrate, and can span the entire width of an array of lines (or other active area). In embodiments of the invention, the substrate 10 is provided with an array of conductive lines 12 (or other active areas) at a pitch of L. The trench or trenches are formed over the active areas 12 (e.g., lines) such that when the block copolymer material is annealed, each cylinder will be situated above a single active area 12 (e.g., conductive line). In some embodiments, multiple trenches are formed with the ends 24 of each adjacent trench 18 aligned or slightly offset from each other at less than 5% of L such that cylinders in adjacent trenches are aligned and situated above the same line 12, In the illustrated embodiment, a neutral wetting material 14 (e.g., random copolymer) has been formed over the substrate 10. A material layer 16 (or one or more material layers) can then be formed over the neutral wetting material and etched to form trenches 18 that are oriented perpendicular to the array of conductive lines 12, as shown in FIGS. 2-2B. Portions of the material layer 16 form a spacer 20 outside and between the trenches. The trenches 18 are structured with opposing sidewalls 22, opposing ends 24, a floor 26, a width (W1), a length (/,-) and a depth (Di),
In another embodiment illustrated in FIGS. 3-4, the material layer 16f can be formed on the substrate 10', etched to form the trenches 18', and a neutral wetting material 14' can then be formed on the trench floors 26'. For example, a random copolymer material can be deposited into the trenches 18' and crosslinked to form a neutral wetting material layer. Material on surfaces outside the trenches such as on the spacers 20' (e.g., non-crosslinked random copolymer) can be subsequently removed.
[0032] Single or multiple trenches 18 (as shown) can be formed using a lithographic tool having an exposure system capable of patterning at the scale of L (10-100 run). Such exposure systems include, for example, extreme ultraviolet (EUV) lithography, proximity X-rays and electron beam (e-beam) lithography, as known and used in the art, Conventional photolithography can attain (at smallest) aboirt 58 nm features,
[0033] A method called "pitch doubling" or "pitch multiplication" can also be used for extending the capabilities of photolithographic techniques beyond their minimum pitch, as described, for example, in U.S. Pat. No. 5,328,810 (Lowrey et al), U.S. Pat. No. 7,115,525 (Abatchev, et al.), US 2006/0281266 (Wells) and US 2007/0023805 (Wells). Briefly, a pattern of lines is photolithographically formed in a photoresist material overlying a layer of an expendable material, which in turn overlies a substrate, the expendable material layer is etched to form placeholders or mandrels, the photoresist is stripped, spacers are formed on the sides of the mandrels, and the mandrels are then removed leaving behind the spacers as a mask for patterning the substrate. Thus, where the initial photolithography formed a pattern defining one feature and one space, the same width now defines two features and two spaces, with the spaces defined by the spacers. As a result, the smallest feature size possible with a photolithographic technique is effectively decreased down to about 30 nm or less,
[0034] Factors in forming a single (1 -D) array or layer of perpendicular-oriented nano-cyiindεrs within the trenches include the width (w.,) and depth (Di) of the trench, the formulation of the block copolymer or blend to achieve the desired pitch (L)5 and the thickness (/) of the block copolymer material.
[0035J For example, a block copolymer or blend having a pitch or L value of 35-nm deposited into a 75-nm wide trench having a neutral wetting floor will, upon annealing, result in a zigzag pattern of 35-nra diameter perpendicular cylinders that are offset by about one-half the pitch distance, or about 0.5*L) for the length (/.,) of the trench, rather than a single line row of perpendicular cylinders aligned with the sidewalls down the center of the trench. There is a shift from two rows to one row of the perpendicular cylinders within the center of the trench as the width (wj) of the trench is decreased and/or the periodicity (L value) of the block copolymer is increased, for example, by forming a ternary blend by the addition of both constituent homopolymers. "The boundary conditions of the trench sidewalls 22 in both the x- and y-axis impose a structure wherein each trench contains "n" number of features (e.g., cylinders).
In some embodiments, the trenches 18 are constructed with a width [W1) of about L to about 1,5*1. (or 1 .5 X the pitch value) of the block copolymer such that a cast block copolymer material (or blend) of about L will self assemble upon annealing into a single row of perpendicular cylinders with a center-to-center pitch distance of adjacent cylinders at or about L, For example, in using a cylindrical phase block copolymer with an about 50 nm pitch value or L, the width (W1) of the trenches 18 can be about 1-1.5*50 nm or about 50-80 nm. The length (/,) of the trenches is at or about nL or an integer multiple of L typically within a range of about n*lθ to about «*100 nm (with n being the number of features or structures, e.g., cylinders). The depth (D() of the trenches 18 is greater than L (D>L). The width of the spacers 20 between adjacent trenches can vary and is generally about L to about nL. In some embodiments, the trench dimension is about 20-100 nm wide [W1) and about 100-25,000 nm in length (I1), with a depth (£>,) of about 10-100 nm. [0037] Referring now to FIGS, 5~5B, a self-assembling, cylindrical -phase block copolymer material 28 having an inherent pitch at or about L0 for a ternary blend of block copolymer and homopoiymers blended to have a pitch at or about LB) is deposited into the trenches 18 such that the thickness (/;) on the trench of the deposited block copolymer is generally at or about L after annealing and the block copolymer material will self assemble to form a single layer of cylinders across the width (W1) of the trench, For example, a typical thickness (//) of a cylindrical-phase PS-b-PMMA block copolymer material 28 within the trench is about + 20% of the L value of the block copolymer material (e.g., about 10-100 nm) to form cylinders having a diameter of about 0.5*L (e.g., 5-50 nm, or about 20 nm, for example; within a polymer matrix in a single row within each trench. The thickness of the block copolymer material 28 can be measured, for example, by ellipsometry techniques.
[0038] The block copolymer material can be deposited by spin casting (spin-coating) from a dilute solution (e.g., about 0,25-2 wt% solution) of the copolymer in an organic solvent such as dichloroethane (CH2CI2) or toluene, for example, Capillary forces pull excess block copolymer material 28 (e.g., greater than a monolayer) into the trenches 18, As shown, a thin layer or film 28a of the block copolymer material can be deposited onto the material layer 16 outside the trenches, e.g., on the spacers 20. Upon annealing, the thin film 28a will flow into the trenches leaving a structureless brush layer on the material layer 16 from a top-down perspective.
In the present embodiment, the trench floors 26 are structured to be neutral wetting (equal affinity for both blocks of the copolymer) to induce formation of cylindrical polymer domains that are oriented perpendicular to the trench floors, and the trench sidewalks 22 and ends 24 are structured to be preferential wetting by one block of the block copolymer to induce registration of the cylinders to the sidewalls as the polymer blocks self- assemble. In response to the wetting properties of the trench surfaces, upon annealing, the preferred or minority block of the cylindrical-phase block copolymer will self-assemble to form a single row of cylindrical domains in the center of a polymer matrix for the length of the trench and segregate to the sidewalls and edges of the trench to form a thin interface or wetting layer, as depicted in FIGS. 6-fiB, Entropic forces drive the wetting of a neutral wetting surface by both blocks, and etithalpic forces drive the wetting of a preferential-wetting surface by the preferred block (e.g., the minority block).
To provide preferential wetting surfaces, for example, in the use of a PS-b-PMMA block copolymer, the material layer 16 can be composed of silicon (with native oxide), oxide (e.g., silicon oxide, SiOx), silicon nitride, silicon oxycarbide, indium tin oxide (ITO), silicon oxynitride, and resist materials such as methacrylale-based resists and polydimethyl glutarimide resists, among other materials, which exhibit preferential wetting toward the PMMA block. In the use of a PS-PMMA cylinder-phase block copolymer material, the copolymer material will self assemble to form a thin interface layer and cylinders of PMMA in a PS matrix,
[0041] In other embodiments, a preferential wetting material such as a polymethylmethacrylate (PMMA) polymer modified with an -OH containing moiety (e.g., hydroxyethylmethacrylate) can be applied onto the surfaces of the trenches, for example, by spin coating and then heating (e.g., to about 1700C) to allow the terminal OH groups to end-graft to oxide sidewalis 22 and ends 24 of the trenches, Non-grafted material can be removed by rinsing with an appropriate solvent (e.g., toluene). See, for example, Mansky et al., Science, 1997, 275, 1458-1460, and In et al, Lnngmuir, 2006, 22, 7855-7860,
A neutral wetting trench floor 26 allows both blocks of the copolymer material to wet the floor of the trench. A neutral wetting material 14 can be provided by applying a neutral wetting polymer (e.g., a neutral wetting random copolymer) onto the substrate JO5 forming the material layer 16 and then etching the trenches to expose the underlying neutral wetting material, as illustrated in FIGS, 2-2B.
[0043] In another embodiment illustrated in FIGS. 3-4, a neutral wetting random copolymer material can be applied after forming the trenches 18\ for example, as a blanket coat by easting or spin-coating into the trenches, as depicted in FIG. 4. The random copolymer material can then be thermally processed to flow the material into the bottom of the trenches by capillary action, which results in a layer (mat) 14' composed of the crosslinked. neutral wetting random copolymer. In another embodiment, the random copolymer material within the trenches can be photo-exposed (e.g., through a mask or reticle) to crosslink the random copolymer within the trenches to form the neutral welting material 14'. Non-crossiinkεd random copolymer material outside the trenches (e.g., on the spacers 20{) can be subsequently removed.
[0044] Neutral wetting surfaces can be specifically prepared by the application of random copolymers composed of monomers identical to those in the block copolymer and tailored such that the mole fraction of each monomer is appropriate to form a neutral wetting surface. For example, in the use of a poly(styreπe -block-methyl mεthacrylate) block copolymer (PS-b-PMMA)s a neutral wetting material 14 can be formed from a thin film of a pholo-crosslinkable random PS:PMMA copolymer (PS-r-PMMA) which exhibits non-preferential or neutral wetting toward PS and PMMA (e.g., a random copolymer of PS-PMMA containing an about 0,6 mole fraction of styrene) which can be cast onto the substrate 10 (e.g., by spin coating). The random copolymer material can be fixed in place by chemical grafting (on an oxide substrate) or by thermally or photolytically crosslinking (any surface) to form a mat that is neutral wetting to PS and PMMA and insoluble when the block copolymer material is cast onto it, due to the crosslinking.
[0045] In another embodiment, a neutral wetting random copolymer of polystyrene (PS), polyroethacrylate (PM MA) with hydroxyl group(s) (e.g., 2-hydroxy ethyl rnethacrylate (P(S-r-MMA-r-HEMA)) (e.g., about 58% PS) can be can be selectively grafted to a substrate 10 (e.g., an oxide) as a neutral wetting layer 14 about 5-10 nm thick by heating at about 1600C for about 48 hours. See, for example, In et a!.. Langmuir, 2006, 22, 7855-7860.
>] A surface that is neutral wetting to PS-b-PMMA can also be prepared by spin coating a blanket layer of a photo- or thermally cross-linkable random copolymer such as a benzoeyclobutεne- or azidomethylstyrene-functionalizεd random copolymer of styrene and methyl methacrylate (e.g., poly(styrene-r-benzocyclobutcne-r- methyl methacrylatc (PS-r-PMMA-r-BCB)). For example, such a random copolymer can comprise about 42% PMMA, about (58-x)% PvS and x% (e.g., about 2-3%) of either polybenzocyclobutetie or poly(para-azidomethylstyτene)). An azidomethylstyrenc-functionalized random copolymer can be UV photo-cross linked (e.g., 1-5 MW/cmΛ2 exposure for about 15 seconds to about 30 minutes) or thermally crosslinked (e.g., at about 1700C for about 4 hours) to form a crosslinked polymer mat as a neutral wetting layer 14. A benzocyclobutene-functionalized random copolymer can be thermally cross-linked (e.g., at about 2000C for about 4 hours or at about 250c'C for about 10 minutes).
In another embodiment in which the substrate 10 is silicon (with native oxide), another neutral wetting surface for PS-b-PMMA can be provided by hydrogen-terminated silicon. The floors 26 of the trenches 18 can be etched, for example, with a hydrogen plasma, to remove the oxide material and form hydrogen-terminated silicon, which is neutral wetting with equal affinity for both blocks of a block copolymer material. H-terminated silicon can be prepared by a conventional process, for example, by a fluoride ion etch of a silicon substrate (with native oxide present, about 12-15 A) by exposure to an aqueous solution of hydrogen fluoride (HF) and buffered HF or ammonium fluoride (NH4F), by HF vapor treatment, or by a hydrogen plasma treatment (e.g., atomic hydrogen). An H-tcrminated silicon substrate can be further processed by grafting a random copolymer such as PS-r- PMMA selectively onto the substrate resulting in a neutral wetting surface, for example, by an in situ free radical polymerization of styrene and methyl methacrylate using a di-oiefmic linker such divinyl benzene which links the polymer to the surface to produce about a 10-15 ran thick film.
Ij In yet another embodiment, a neutral wetting surface for PS-b-PMMA and PS-b~PEO can be provided by grafting a self-assembled monolayer (SAM) of a trichlorosilane-base SAM such as S-Cpara-methoxyphenyljpropyltrichorosiianc grafted to oxide (e.g., SiO?.) as described for example, by D. H. Park, Nanotechnology 1 8 (2007), p. 355304.
In the present embodiment, the block copolymer material 28 is then thermally annealed (arrows j.) to cause the polymer blocks to phase separate and self assemble according to the preferential and neutral wetting of the trench surfaces to form a self-assembled polymer material 30. as illustrated in FIGS, 6-6B, Thermal annealing can be conducted at above the glass transition temperature of the component blocks of the copolymer material. For example, a PS-b-PMMA copolymer material can be globally annealed at a temperature of about 180-2300C in a vacuum oven for about 1-24 hours to achieve the self-assembled morphology. The resulting morphology of the annealed copolymer material 30 (e.g., perpendicular orientation of cylinders) can be examined, for example, using atomic force microscopy (AFM), transmission electron microscopy (TBM)5 scanning electron microscopy (SEM).
Rather than performing a global heating of the block copolymer material, in other embodiments, a zone or localized thermal anneal can be applied to portions or sections of the copolymer material 28 on the substrate 10. For example, the substrate can be moved across a hot-to-cold temperature gradient 32 (FIG. 6A) positioned above or underneath the substrate (or the thermal source can be moved relative to the substrate, e.g., arrow ->) such that the block copolymer material self-assembles upon cooling after passing through the heat source. Only those portions of the block copolymer material that are heated above the glass transition temperature of the component polymer blocks will self-assemble, and areas of the material that were not sufficiently heated remain disordered and unassembled. "Pulling" the heated zone across the substrate can result in faster processing and better ordered structures relative to a global thermal anneal.
Upon annealing, the cylindrical-phase block copolymer materia! 28 will self-assemble into a polymer material 30 (e.g. film) composed of perpendicular-oriented cylinders 34 of one of the polymer blocks (e.g., PMMA) within a polymer matrix 36 of the other polymer block (e.g., PS). The constraints provided by the width (W1) of the trench 18 and the character of the block copolymer composition (e.g., PS-b-PMM A having an inherent pitch at or about L) combined with a trench floor 26 that exhi bits neutral or non-preferential welting toward both polymer blocks (e.g., a random graft copolymer) and sidewails 22 that are preferential wetting by the minority or preferred block of the block copolymer (e.g., the PMMA block), results in perpendicularly-oriented cylindrical domains 34 of the minority polymer block (e.g., PMMA) within a matrix 36 of the majority polymer block (e.g., PS) in a single row (1-D array) registered and parallel to the sidewails 22 of the trench. The diameter of the cylinders 34 will generally be about one-half of the center-to-center distance between cylinders. Upon annealing, a layer of the minority block segregates to and wets the sidewails 22 and ends 24 of the trenches to form a thin wetting layer 34a with the thickness of the layer 34a being generally about one-fourth of the center-to-center distance between adjacent cylinders 34, For example, a layer of PMMΛ domains will wet oxide interfaces, with attached PS domains consequently directed away from the oxide material.
[0052] In some embodiments, the self-assembled block copolymer material 30 is defined by an array of cylindrical domains (cylinders) 34, each with a diameter at or about 0.5* L5 with the number (n) of cylinders in the row according to the length of the trench, and the cεnter-to-ccnter distance (pitch distance, /?) between each cylinder at or about L,
[0053] Optionally, after the block copolymer materia! is annealed and ordered, the copolymer material can be treated to crosslink the polymer segments (e.g., the PS segments) to fix and enhance the strength of the self-assembled polymer blocks. The polymers can be structured to inherently crosslink (e.g., upon exposure to ultraviolet (IJV) radiation, including deep ultraviolet (DUV) radiation), or one of the polymer blocks of the copolymer materia} can be formulated to contain a crosslinking agent,
[0054] Generally, the film 28a outside the trenches will not be not thick enough to result in self-assembly. Optionally, the unstructured thin film 28a of the block copolymer material outside the trenches (e.g., on spacers 20) can be removed, as illustrated in FIGS. 6-6B. For example, the trench regions can be selectively exposed through a reticle (not shown) to crosslink only the annealed and self-assembled polymer material 30 within the trenches 18, and a wash can then be applied with an appropriate solvent (e.g., toluene) to remove the non-crosslinked portions of the block copolymer material 28s (e.g., on the spacers 20), leaving the registered self-assembled polymer material within the trench and exposing the surface of the material layer 16 above/outside the trenches. In another embodiment, the annealed polymer material 30 can be crosslinked globally, a photoresist material can be applied to pattern and expose the areas of the polymer material 28a outside the trench regions, and the exposed portions of the polymer material 28a can be removed, for example by an oxygen (O2) plasma treatment.
[Θ05S] An application of the self-assembled polymer material 30 Is as an etch mask to form openings in the substrate .10. For example, as illustrated in FIGS. 7-7B5 in one embodiment, the cylindrical polymer domains 34 of the self-assembled polymer material 3Θ can be selectively removed resulting in a polymer matrix 36 with openings 40 exposing the trench floor. For example, PMMA domains can be selectively removed by UV exposure/acetic acid development or by selective reactive ion etching (RIE). The remaining porous polymer (e.g. PSj matrix 36 can then be used as a mask to etch (arrows \ j.) a series of openings or contact holes 42 to the conductive lines 12, semiconducting regions, or other active area in the underlying substrate 10 (or an imderlayer), as depicted in FIGS, 8-8B, for example, using a selective reactive ion etching (RIE) process.
[Θ056J Further processing can then be performed as desired. For example, as depicted in FIGS. 9~9B, the residual matrix 36 can be removed and the substrate openings 42 can be filled with a material 44 such as a metal or metal alloy such as Cu, Al, W, Si, and T13 N4, among others, to form arrays of cylindrical contacts to the conductive lines 12, The cylindrical openings 42 in the substrate can also be filled with a metal-insulator-metal stack to form capacitors with an insulating material such as SiO?, AI2O3, HfO?, ZrO2, SrIlO3, and the like.
[0057] Another embodiment of a method according to the invention utilizes a solvent anneal in combination with a graphoepitaxy technique to induce ordering and registration of a cylindrical-phase block copolymer material within a trench, as depicted in FIGS, I0-15,to form a 1-D array of a single row of perpendicular-oriented cylinders in a polymer matrix.
I The diblock copolymer is constructed such that both polymer blocks will wet the air interface during the solvent anneal. Examples of diblock copolymers include poly(styrcnc)-b-poly(ethylcnε oxide) (PS-b-PEO); a PS-b-PEO block copolymer having a eleavab Ie junction such as a triphenylmethyl (trityl) ether linkage between PS and PEO blocks (optionally complexed with a dilute concentration (e.g., about 1 %) of a salt such as KCl, KL LiCl, LiI5 CsCl or CsI (Zhang et aL Adv. Mater. 2007. 19, 1571-1576); PS-b-PMMA block copolymer doped with PCO-coated gold nanoparticles of a size less than the diameter of the self-assembled cylinders (Park et al, Macromolecules. 2007, 40(11), 81 19-8124); pυ!y(styrene)-b~po!y(methylmethacryiatε) (PS-b-PMMA) or other PS-b-poly(acrylate) or PS-b-poly(methacrylate), poly(styrene)-b~poly(lactide) (PS-b-PLA), poly(styrene)-b-poly(vinylpyridine) (PS-b-PVP), poly(styrenε)~b~poly(tert-butyl acrylate) (PS~b-PtBA), and poly(styrene)-b-poly(εthylene-co-butyiene (PS-b-(PS-co-PB)). Examples of tri block copolymers include ABC polymers such as poly(styrene-b-methyl methacrylate-b-ethylene oxide) (PS-b-PMMA-b-PEO), and ABA copolymers such as PS-b- Pl-b-PS.
[0059] The present embodiment utilizing a solvent arrneal eliminates the formation of a neutral wetting material on the trench floor, which reduces the number of processing steps. In addition, each of the trench surfaces (e.g., sidεwalls 22", ends 24", floor 26") is structured to be preferential wetting to the minority block of the PS-b-PEQ block copolymer material (e.g., PRO).
The trenches 18" are also structured with a width (wi) that is about 1-1.5* L or 1 to I 1A times the pitch value of the block copolymer material. For example, for a cylindrical-phase PS-b-PEO copolymer with a L value of about 50 run, the trench is constructed to have a width (wt) of about 50 nm. The depth (D1) of the trenches can be at or about L.
[006 J] Referring to FIGS. IΘ-10B, a substrate 10" is shown with conductive lines 12" (or other active area) and an overlying material layer 16" in which trenches 18" have been etched. The substrate 10" and material layer 16" defining the trench surfaces can be a material that is inherently preferential wetting to one of the polymer blocks, or in other embodiments, a preferential wetting material can be applied onto the surfaces of the trenches. For example, in the use of a PS-b-PEO block copolymer, the substrate 10" and material layer 16" can be formed of silicon (with native oxide), oxide (e.g., silicon oxide, SiOx), silicon nitride, silicon oxycarbide, indium tin oxide (ITO), silicon oxynitride, and resist materials such as such as methacrylate-based resists, among other materials, which exhibit preferential wetting toward the PEO block, In the use of a PS-PEO cylinder-phase block copolymer material, the copolymer material will self assemble to form cylinders of PEO in a PS matrix and a thin interface brush or wetting layer on the sidεwalls 22" and ends 24" of the trench,
[0062] Λ cylindrical-phase PS-b-PEO block copolymer material 28" (or blend with homopolymersj having an inherent pitch at or about L can be deposited into the trenches IH", as shown in FIGS. 11-llB, With the use of a solvent anneal, the thickness (t:) of the block copolymer material deposited into the trench can be about the L value of the material or greater, e.g., up to about 1000% of the L value.
The volume fractions of the two blocks (ΛB) of the PS-b-PEQ diblock copolymer are generally at a ratio of about 60:40 and 80:20, such that the block copolymer will microphase separate and self-assemble into cylindrical domains of polymer B (i.e., PEO) within a matrix of polymer A (i.e., PS). An example of a cylinder-forming PS-b-PEO copolymer material (L =50 nni) to form about 25 nm diameter cylindrical PEO domains in a matrix of PS is composed of about 70% PS and 30% PEO with a total molecular weight (Mn) of about 75 kg/rπol. Although diblock copolymers are used in the illustrative embodiment, triblock or multiblock copolymers can also be used,
[0064] The PS-b-PEO block copolymer material can also be formulated as a binary or ternary' blend comprising a PS-b-PEO block copolymer and one or more homopoiymcrs (i.e., polystyrene (PS) and polyethylene oxide (PEO) to produce blends that swell the size of the polymer domains and increase the L value of the polymer. The volume fraction of the homopoiymcrs can range from 0 to about 40%. An example of a ternary diblock copolymer blend is a PS-b-PEO/PS/PEO blend. The L value of the polymer can also be modified by adjusting the molecular weight of the block copolymer.
>5] The PS-b-PEO block copolymer material 28" is then solvent annealed (arrows J)5 to form a self-assembled polymer material 30", as illustrated in FIGS. 12-12B.
In a solvent anneal, the block copolymer material is swollen by exposure to a vapor of a "good" solvent for both blocks, for example, benzene, chloroform or a chloroform/octane mixture. The block copolymer material 28" is exposed to the solvent vapors to slowly swell both polymer blocks (PS, PEO) of the material. The solvent and solvent vapors are then allowed to slowly diffuse out of the swollen polymer material and evaporate. The solvent-saturated vapor maintains a neutral air interface 46" with the copolymer material 28", which induces the formation of perpendicular features throughout the copolymer material. The evaporation of the solvent forms a gradient that causes self-assembly and formation of structures starting at the air-surface interface 46" and driven downward to the floor 26" of the trench 18", with formation of perpendicular-oriented cylindrical domains 34" guided by the trench side walls 22" and extending completely from the air interface 46" to the substrate surface (trench floor 26"), In some embodiments, a solvent anneal can be conducted in high humidity (e.g., about 70-85%) with water condensation on the film, which cools as the solvent (e.g., benzene) evaporates.
'67J The constraints provided by the width (wt) of trench 18" and the character of the block copolymer composition 28", preferential wetting sidewalls 22" and ends 24" combined with a solvent anneal results in a one-dimensional (1-D) array of a single row of perpendicularly-oriented cylindrical domains 34" of the minority polymer block (e.g., PEO) within a matrix 36" of the major polymer block (e.g., PS), with the minority block segregating to the sidewalls 22" of the trench to form a wetting layer 34a" with a thickness generally about one-fourth of the center-to-center distance of adjacent cylinders 34". In some embodiments, the cylinders have a diameter at or about 0,5*L (e.g., about one-half of the center-to-center distance between cylinders), the number («) of cylinders in the row is according to the length (/,) of the trench, and the centcr-to-center distance (pitch distance, p) between cylinder domains is at or about L,
J8] Optionally, the annealed and ordered polymer material 30" can be treated to crosslink the polymer segments (e.g., the PS matrix 36"). The unstructured thin film 28a" of the block copolymer material outside the trenches can then be optionally removed, as shown in FIGS. 12-12B.
As depicted in FIGS. I3-Ϊ3B, the self-assembled polymer material 30" (optionally cross-linked) can then be processed to form, for example, an etch mask for use in etching openings in the substrate or underling material layer, by the selective removal of one of the polymer domains (e.g., PS or PEO), For example, the water-soluble PEO cylindrical domains 34" can be selectively removed to produce openings 40" in the PS material layer 36" that can be used, for example, as a lithographic template or mask to etch openings 42" in the underlying substrate 10" (FIGS, 14-14B) for semiconductor processing in the nanometer size range (i.e., about 10- 100 nm). Removal of the PEO phase domains 34" can be performed, for example, by exposure of the self-assembled block copolymer material 3Θ" (optionally cross-linked) to aqueous hydroiodie acid or exposure to water alone, which will draw PEO to the surface without cleaving the bonds to the PS domains. In embodiments in which the PS~b~PEQ block copolymer includes an acid-cleavable linker (e.g., trityl alcohol linker) positioned between the polymer blocks, exposure of the crossHnked polymer material 30" to an aqueous acid (e.g., tritluoro acetic acid) or to an acid vapor can be performed to cleave the polymer into PEO and PS fragments (S. Yuri et al., "Scission of Diblock Copolymers into Their Constituent Blocks," Macromokcules 200(S, 39, 1670-1672). Rinsing with water can then be performed to remove, the cleaved PEO domains 34". In other embodiments, exposure to water to draw the PE-O domains to the surface followed by a brief oxygen (O2) plasma etch can also be performed to remove the PEO domains.
[0070] As shown in FTGS. 15-15B, the residual polymer matrix 36" can then be removed and the openings 42" that have been formed in the substrate can be filled with a desired material 44".
[0071 J Another embodiment of a method according to the invention utilizes a thermal anneal in combination with a cylindrical-phase, block copolymer material comprising polylactide (or polylactic acid) and graphoepitaxy to form a single row, 1-D array of perpendicular-oriented cylinders in a polymer matrix. Examples of polylactide block copolymer materials include poly(styrene)-b-poly(lactide) (or poly(laetic acid)) (PS-b-PLA),
[0072] The described embodiment eliminates the formation of a neutral wetting material on the trench floor, thus reducing the number of processing steps. It also utilizes a thermal anneal process, which can provide faster processing than with a solvent anneal. In addition, the use of polylactic acid (PLA), a biodegradable, thermoplastic aliphatic polyester, allows relatively easy development and removal of the PLA domains to form cylindrical-shaped voids through the polymer matrix (e.g., PS, etc.). The trench surfaces (e.g., sidewalis, ends, floor) are structured using the same or highly similar material that is preferential wetting to the minority block, e.g., the PLA block of a PS-b-PLA copolymer material.
[0073] The present embodiments can also be described with reference to FIGS. 10-15, Referring to FIGS, 10-1ΘB, the substrate 10" and material layer 16" can be formed from a material that is inherently preferential wetting to the PLA block, or in other embodiments, a preferential wetting material can be applied onto the surfaces of the trenches 18", with the same or closely similar materia! being used to define the sidewalls 22", ends 24" and floor 26" of the trenches. For example, materials that are preferential wetting to the PLA block of a PS-b-PLΛ block copolymer include oxide (e.g., silicon oxide, SiOx), silicon (with native oxide), silicon nitride, silicon oxycarbide, indium tin oxide (ITO), silicon oxynitride, and resist materials such as such as mεthacrylate-based resists, among other materials.
[0074] In the present embodiment, the trenches 18" are structured with a width (W1) that is at about 1.5*L value of the PS-b-PLA copolymer material, a length (J t) at or about ?zL0 (where n - number of cylinders), and a depth (D1) at greater than L (Dp>L) such that a cylindrical-phase block copolymer (or blend) that is cast into the trench to a thickness of about the inherent L value of the copolymer material will self assemble upon annealing into a single layer of n cylinders according to the length (/,) of the trench, the cylinders with a diameter at or about 0.5*L, and a center-to-center distance (p) of adjacent cylinders at or about L.
[0075] A cylindrical-phase PS-b-PLA block copolymer material 28" (or triblock or rnultiblock copolymers or blend with homopolyraers) having an inherent pitch at or about L can be deposited into the trenches 18", as shown in FIGS, 11-1 JB. For example, a PS-b-PLA copolymer material (L= 49 nm) can be composed of about 71% PS and 29% PLA with a total molecular weight (Mn) of about 60,5 kg/rnol to form about 27 nm diameter cylindrical PLA domains in a matrix of PS.
[0076] Upon casting the copolymer material 28" into the trenches 18", both polymer blocks (e.g., PLA and PS) tend to wet the air interface 46" equally well, and the minority (e.g., PLA) block will preferentially wet the surfaces 22", 24" 26" of the trench to form a thin wetting layer 34a" on each of the trench surfaces as illustrated in FIGS, 12-12B, Turning now to FIGS, 16-16B, in the present embodiment, the wetting layer 34a"' is a bilaycr of PLA 48a"' and PS 4Sb" (. The PS 48b" ' portion of the wetting layer (depicted with broken lining - - -) is continuous with the overall PS matrix 36'", as shown.
[0077] Thermal annealing of the block copolymer material 28'" in combination with the constraints provided by the width (wf) of the trench 18'", the preferential wetting trench surfaces 22"', 24'" 26"' and the composition of the block copolymer, causes the minority polymer block (e.g., PLA block) to self assemble to form perpendicular-oriented cylindrical domains 34'" in a single row within a matrix 36"! of the majority polymer block (e.g., PS), with the PLA 48a"7PS 48b"! bilayer along the trench surfaces 22'", 24"f, 26"'. In some embodiments, the block copolymer material 28"' can be "zone annealed" as previously described. Λs shown in FIGS. 16A-16B, the PLA cylindrical domains 34"' extend from the air interface 46'" to the wetting layer 34s'" composed of the PL A/PS bilayer 48a"748h"f overlying the surface of the substrate 10s" at the trench floor 26'". The PS layer 48b"', which is covalently bonded to the PLA layer 48a' "5 is in contact with the PS block (matrix 26'"), which in turn is covalently bonded to the PLA cylinder domains 34'",
[Θ07S] Polymer segments (e.g., the PS matrix 36'") of the annealed polymer material 30"' may optionally be crosslinked, and any unstructured polymer material 28s'" on surfaces outside the trenches can then be optionally removed, as depicted in FIGS. 16-16B.
The polymer material 30"' can then be further processed as desired, for example, to form a mask to etch openings 42' in the substrate 10'". For example, as illustrated in FIGS. 17-17B, the PLA cylinders 34'" can be selectively removed, for example, using UV exposure and an acetic acid wash, or an aqueous methanol mixture containing sodium hydroxide to form cylindrical-shaped openings 40'" extending through the PS matrix. Due to the PLA/PS bilayer 48a"', 48b'" that overlies the trench floor, the openings 4θ"f do not extend all the way to the surface of the substrate 10'" at the trench floor 26"'. As depicted in FIGS, 18-18B5 an RIE etching process (arrows 1), for example, can be conducted to remove the bilayer material and expose the trench floors 26"' and the substrate 10"' within the openings 40'". The RIE etch may thin the matrix (mask) 36"', as shown, although not to a significant extent.
80] Referring now to FIGS, 14-14B, the matrix 30" can then be used as a mask to etch cylindrical-shaped openings 42" in the substrate down to an active area such as a conductive line 12" or to semi-conducting regions, etc. The remnants of the etch mask 36" can be subsequently removed and the openings 42" can be filled as desired, as described with respect to FIGS. 15-15B. i] In another embodiment, the trenches are constructed with a width (w,) of about 1.75-2.5 *L of the block copolymer such that, upon annealing, a block copolymer material or blend of about L will self-assemble into two rows of perpendicular cylinders with each cylinder being offset to form a zigzag pattern, and the eenter-to-ccnter pitch distance between adjacent cylinders at or about one-half L (~ 0.5*L). For example, referring to FIGS. 19-19B, in the use of a cylinder-forming block copolymer material or blend with an L (pitch) value of about 40 nm, a trench 18 can be constructed with a width (w,) about 70-100 nm wide (or according to 1 + ((square root of 3V2) * L). The length (/,) of the trench can be at or about [1 -t- 0.5 (n-l )] * L, where n equals the number of cylinders in the trench. The depth (D1) of the trench 18"" can be greater than L (A>L) for embodiments employing a thermal anneal of the block copolymer (e.g., FIGS, 2-8) or at or about L (D t ~ L) for embodiments utilizing a solvent anneal process (e.g., FIGS. 11-14),
Optionally, the ends 24"" can be angled or beveled as depicted by the dashed line 50 in FlG. 20, The dimensions of the trench 18"" can be, for example, about 70400 nm wide (W1), about 100-25,000 nni long (lt), and about 40-200 nm deep (D1).
[0083] Any of the above-described cylindrical-phase block copolymers (e.g., PS-b-PMMA, PS-b-PEO, PS-b-PLA, etc.) can be deposited within the trench 18"", and thermal or solvent annealed as previously described.
[0Θ84J The trench 18"" is fabricated with the appropriate neutral or preferential wetting surface on the sidewalk 22"", ends 24"", and trench floor 26"", to drive the block copolymer to self-assemble into perpendicular-oriented cylinders 34"" upon annealing, as depicted in FIGS, 2Θ-2ΘB, The resulting cylinders 34"" are formed in a staggered two-row arrangement parallel to the sidewalk 22"" in which the center-to-centεr pitch distance (p) of adjacent cylinders 34"" within a row is at or about 0.5*L, FIG. 2OB illustrates a schematic cross-sectional, elevationai view of both rows of cylinders in relation to the underlying lines 12"". The self-assembled polymer film can then be processed to form a mask (FIGS. 21-21B) by removing the cylinder domains 34"" (e.g., PMMA) leaving a polymer matrix 36"" (e.g., PS) with cylindrical openings 40"" to the underlying substrate 10"", which can then be etched to form openings 42"" (shown in phantom) to "buried" active areas (e.g., lines 12"") and the openings 42"" can then be filled (FIGS. 22-22B) with a desired material 44"", e.g., metal, to form, for example, a contact to underlying lines 12"". In some embodiments, the feature size of the conductive lines 12"" is less than the diameter of the cylinders 34"" (e.g., by about 50%) such that a variance in the diameter of the cylinders 34"" and the subsequently formed cylindrical openings 42"" avoids electrical shorts that can occur from overlapping diameters of adjacent cylinders,
[0085] With the present embodiment of two rows of cylinders in an offset arrangement, contact openings 42"" can be etched into a substrate to a denser array of buried lines 12"" than with an embodiment utilizing a single row of cylinders (e.g., FJG, 6) for a given block copolymer pitch L, With the contacts 44" " being offset, each contact 44"" can be connected to a single conductive line 12"" to address the lines individually.
S] Methods of the disclosure provide a means of generating self-assembled diblock copolymcr films composed of perpendicular-oriented cylinders in a polymer matrix. The methods provide ordered and registered elements on a nanometer scale that can be prepared more inexpensively than by electron beam lithography, EUV photolithography or conventional photolithography. The feature sizes produced and accessible by this invention cannot be easily prepared by conventional photolithography. The described methods and systems can be readily employed and incorporated into existing semiconductor manufacturing process flows and provide a low cost, high-throughput technique for fabricating small structures.
[0087] Although specific embodiments have been illustrated and described herein, it will be appreciated by those of ordinary skill in the art that any arrangement which is calculated to achieve the same purpose may be substituted for the specific embodiments shown. This application is intended to cover any adaptations or variations that operate according to the principles of the invention as described. Therefore, it is intended that this invention be limited only by the claims and the equivalents thereof. The disclosures of patents, references and publications cited in the application are incorporated by reference herein.

Claims

WHAT iS CLAIMED IS:
1. A method of forming a nano structured polymer material on a substrate, comprising: forming a self-assembling block copolymer material within a trench in a material layer on the substrate, the trench having a neutral wetting floor, opposing sidεwalls and ends that are preferentially wetting to a minority block of the block copolymer; and annealing the block copolymer material such that said block copolymer material self-assembles into cylindrical domains of the first block of the block copolymer within a matrix of a second block of the block copolymer, said self-assembled block copolymer material having a thickness, and the cylindrical polymer domains oriented perpendicular to the trench floor and extending through said thickness of the self-assembled block copolymer material in a single array for the length of the trench.
2. The method of Claim 1, wherein the block copolymer comprises polystyrene and polyrnethyl methacrylate.
3. The method of Claim 1 , wherein the block copolymer comprises a blend of the block copolymer with a homopolymεr of the first polymer block, the second polymer block, or both.
4. The method of Claim 1 , wherein the trench has a width of about L to about 1.5 X L, a length of about ήL, and a depth of greater than about L.
5. The method of Claim i , wherein the neutral wetting floor comprises a random copolymer material,
6. The method of Claim I 5 wherein the neutral wetting floor comprises hydrogen-terminated silicon.
7. The method of Claim 1 , wherein the neutral wetting floor comprises 3-(p-methoxyphenyl)propyltrichlorosilane grafted to oxide.
8. The method of Claim I5 further comprising, prior to forming the block copolymer material within the trench, forming the material layer on the substrate; forming the trench within the material layer; and forming the neutral wetting material on the floor of the trench.
9. The method of Claim 1 , further comprising, prior to forming the block copolymer material within the trench, forming the neutral wetting material on a surface of the substrate; forming the material layer over the neutral wetting material; and forming the trench within the material layer to expose the neutral wetting material at the trench floor.
10. The method of Claim 1 , wherein the sidewalls and ends of the trench comprise a material selected from the group consisting of oxide, silicon nitride, silicon oxyearbidc. silicon oxymtride, indium tin oxide (1 ! O), a mcthacrylate resist, and a poly dimethyl glutarimidε resist.
11. The method of Claim 1 , wherein annealing the block copolymer material comprises thermal annealing.
12. The method of Claim 1 , wherein annealing the block copolymer material comprises solvent annealing.
13 The method of Claim 1 , further comprising, after annealing, selectively crosslinking domains of the second polymer block.
14. The method of Claim 1, further comprising selectively removing the first polymer block to form cylindrical openings extending through the matrix of the second polymer block.
15. The method of Claim 13, further comprising etching unmasked portions of the substrate through the openings,
16. Λ method of forming a polymer layer on a substrate, comprising: depositing a cylindrical-phase block copolymer material in a trench in a material layer on the substrate to a thickness of about the L value of the block copolymer or greater in the trench, the trench having sidevvalls, ends and a floor that are preferential wetting to a first block of the block copolymer; and solvent annealing the block copolymer material such that said block copolymer material self-assembles into cylindrical domains of the first block of the block copolymer within a matrix of a second block of the block copolymer, said self-assembled block copolymer material having a thickness, and the cylindrical polymer domains oriented perpendicular to the trench floor and extending through said thickness of the self-assembled block copolymer material in a single array for the length of the trench.
17. The method of Claim 165 wherein solvent annealing the block copolymer material comprises thermal annealing with a partial pressure of saturated solvent vapor.
18. The method of Claim 16, wherein the block copolymer comprises polystyrene and polyethylene oxide.
19. The method of Claim 18, wherein the block copolymer comprises a eleavable PS-b-PEO.
20. The method of Claim 16, wherein the trench has a width of about 1-1.5 times the L value of the block copolymer.
21. A method of forming a polymer layer on a substrate, comprising: depositing a cylindrical -phase block copolymer material in a trench in a material layer on the substrate to a thickness of about the L value of the block copolymer in the trench, the trench having sidewalls, ends and a floor that are preferential wetting to a first block of the block copolymer; and thermal annealing the block copolymer material such that said block copolymer material self-assembles into cylindrical domains of the first block of the block copolymer within a matrix of a second block of the block copolymer, said self-assembled block copolymer material having a thickness, and the cylindrical polymer domains oriented perpendicular to the trench floor and extending through said thickness of the self-assembled block copolymer
22. The method of Claim 21, wherein the block copolymer comprises polystyrene and polylactide.
23. The method of Claim 21 , wherein the trench has a width of about the L value of the block copolymer to about 1 -1.5*L.
24. The method of Claim 21, wherein the sidewalls, ends and floor of the trench comprises a silicon oxide.
25. The method of Claim 22, wherein the block copolymer forms a wetting layer on the sidewalks, ends and floor of the trench, said wetting layer comprising a bi layer of polylactide and polystyrene; the method further comprising, after annealing: selectively removing the first polymer block to form cylindrical openings extending through the matrix of the second polymer block; and etching to remove the bi layer on the floor of the trench within said openings to expose the substrate.
26. The method of Claim 25, further comprising etching exposed portions of the substrate through the openings,
27. A method of etching a substrate, comprising: annealing a block copolymer material situated in a trench in a material layer overlying the substrate, the trench having opposing sidεwalls and ends that are preferentially wetting to a minority block of the block copolymer, a floor, a width and a length, wherein the block copolymer material forms a single array of perpendicular-oriented cylinders of the minority polymer block in a matrix of a majority polymer block, said annealed block copolymer material having a thickness, and the cylinders extending through said thickness of the annealed block copolymer selectively removing one of the polymer blocks to form openings exposing the substrate; and etching exposed portions of the substrate to form openings therein.
28. The method of Claim 27, further comprising, prior to removing one of the polymer blocks, selectively crosslinking another of the polymer blocks,
29. The method of Claim 27, wherein the trench floor is neutral wetting.
30. The method of Claim 27, wherein the trench floor is preferential wetting.
31. The method of Claim 30, wherein the block copolymer material is selected from the group consisting of a block copolymer comprising polystyrene and polyethylene oxide, and a block copolymer comprising polystyrene and poϊylactide.
32. The method of Claim 27, wherein said etching forms a pattern of registered, addressable, sub-lithographic openings in the substrate.
33. The method of Claim 27, further comprising filling the openings in the substrate with a fill material.
34. The method of Claim 33, wherein the fill material comprises a metal, a metal allov, and a metal/insulator/metal stack.
35. A method of etching a substrate, comprising: forming a block copolymer material within a trench in a material layer overlying the substrate, the trench having opposing side walls and ends that are preferentially wetting to a minority polymer block of the block copolymer, a floor, a width and a length; causing a microphase separation in the block copolymer material to form cylindrical domains composed of a first polymer block in a matrix of a second polymer block within the trench, the cylindrical polymer domains oriented perpendicular to the trench floor and registered to the sidewalls in a single array extending the length of the trench;. optionally, selectively crosslinking the polymer matrix; selectively removing the cylindrical polymer domains to form openings through the polymer matrix; and etching the substrate through the openings in the polymer matrix.
36. A method of forming a nanostructured polymer material on a substrate, comprising: forming a self-assembling block copolymer material within a trench in a material layer on the substrate, the trench having a neutral wetting floor, opposing sidewalls and ends that are preferentially wetting to a minority block of the block copolymer; and annealing the block copolymer material such that said block copolymer material self-assembles into cylindrical domains of the first block of the block copolymer within a matrix of a second block of the block copolymer, said self-assembled block copolymer material having a thickness, and the cylindrical polymer domains oriented perpendicular to the trench floor and extending through said thickness of the self-assembled block copolymer material: wherein the cylindrical polymer domains are in two rows for the length of the trench, with adjacent cylindrical domains offset from each other in a zig-zag arrangement at a center-to-center distance of about one-half the L value of the block copolymer in a direction parallel to the trench sidewalls.
37. A polymeric material situated within a trench in a material layer overlying a substrate, the trench having sidewalls, ends, a floor, a width and a length, the polymeric material comprising a self-assembled block copolymer material comprising cylindrical polymer domains of a minority polymer block in a matrix of a majority polymer block, the cylindrical polymer domains oriented perpendicular to the trench floor and in a single array extending the length of the trench,
38. The polymeric material of Claim 37, wherein the trench has a neutral welting floor, and sidewalls and ends comprising a material that is preferentially wetting to the minority polymer block,
39. The polymeric material of Claim 37, wherein the trench has a floor, sidewalls and ends comprising a material that is preferentially wetting to the minority polymer block,
40. A polymeric material situated within a trench in a material layer overlying a substrate, the trench having sidewalls, ends, a floor, a width and a length;, the polymeric material comprising a self-assembled block copolymer material comprising cylindrical polymer domains of a minority polymer block in a matrix of a majority polymer block: wherein the cylindrical polymer domains are oriented perpendicular to the trench floor and in two rows for the length of the trench, with adjacent cylindrical domains offset from each other in a zig-zag arrangement at a center-to-eenter distance of about one-half the L valne of the block copolymer in a direction parallel to the trench sidewalls.
PCT/US2009/032130 2008-02-13 2009-01-27 One-dimensional arrays of block copolymer cylinders and applications thereof WO2009102551A2 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
EP09711291A EP2262721A2 (en) 2008-02-13 2009-01-27 One-dimensional arrays of block copolymer cylinders and applications thereof
CN2009801050240A CN101952195B (en) 2008-02-13 2009-01-27 One-dimensional arrays of block copolymer cylinders and applications thereof

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/030,562 2008-02-13
US12/030,562 US8101261B2 (en) 2008-02-13 2008-02-13 One-dimensional arrays of block copolymer cylinders and applications thereof

Publications (2)

Publication Number Publication Date
WO2009102551A2 true WO2009102551A2 (en) 2009-08-20
WO2009102551A3 WO2009102551A3 (en) 2009-11-26

Family

ID=40938191

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2009/032130 WO2009102551A2 (en) 2008-02-13 2009-01-27 One-dimensional arrays of block copolymer cylinders and applications thereof

Country Status (6)

Country Link
US (2) US8101261B2 (en)
EP (1) EP2262721A2 (en)
KR (1) KR101262460B1 (en)
CN (1) CN101952195B (en)
TW (1) TWI462871B (en)
WO (1) WO2009102551A2 (en)

Cited By (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2010079005A1 (en) * 2009-01-12 2010-07-15 International Business Machines Corporation Method for reducing tip-to-tip spacing between lines
US8083953B2 (en) 2007-03-06 2011-12-27 Micron Technology, Inc. Registered structure formation via the application of directed thermal energy to diblock copolymer films
US8114301B2 (en) 2008-05-02 2012-02-14 Micron Technology, Inc. Graphoepitaxial self-assembly of arrays of downward facing half-cylinders
US8372295B2 (en) 2007-04-20 2013-02-12 Micron Technology, Inc. Extensions of self-assembled structures to increased dimensions via a “bootstrap” self-templating method
US8394483B2 (en) 2007-01-24 2013-03-12 Micron Technology, Inc. Two-dimensional arrays of holes with sub-lithographic diameters formed by block copolymer self-assembly
US8404124B2 (en) 2007-06-12 2013-03-26 Micron Technology, Inc. Alternating self-assembling morphologies of diblock copolymers controlled by variations in surfaces
US8425982B2 (en) 2008-03-21 2013-04-23 Micron Technology, Inc. Methods of improving long range order in self-assembly of block copolymer films with ionic liquids
US8445592B2 (en) 2007-06-19 2013-05-21 Micron Technology, Inc. Crosslinkable graft polymer non-preferentially wetted by polystyrene and polyethylene oxide
US8450418B2 (en) 2010-08-20 2013-05-28 Micron Technology, Inc. Methods of forming block copolymers, and block copolymer compositions
US8455082B2 (en) 2008-04-21 2013-06-04 Micron Technology, Inc. Polymer materials for formation of registered arrays of cylindrical pores
US8551808B2 (en) 2007-06-21 2013-10-08 Micron Technology, Inc. Methods of patterning a substrate including multilayer antireflection coatings
US8633112B2 (en) 2008-03-21 2014-01-21 Micron Technology, Inc. Thermal anneal of block copolymer films with top interface constrained to wet both blocks with equal preference
US8642157B2 (en) 2008-02-13 2014-02-04 Micron Technology, Inc. One-dimensional arrays of block copolymer cylinders and applications thereof
US8669645B2 (en) 2008-10-28 2014-03-11 Micron Technology, Inc. Semiconductor structures including polymer material permeated with metal oxide
US8784974B2 (en) 2007-03-22 2014-07-22 Micron Technology, Inc. Sub-10 NM line features via rapid graphoepitaxial self-assembly of amphiphilic monolayers
US8900963B2 (en) 2011-11-02 2014-12-02 Micron Technology, Inc. Methods of forming semiconductor device structures, and related structures
US8956713B2 (en) 2007-04-18 2015-02-17 Micron Technology, Inc. Methods of forming a stamp and a stamp
US8999492B2 (en) 2008-02-05 2015-04-07 Micron Technology, Inc. Method to produce nanometer-sized features with directed assembly of block copolymers
US9087699B2 (en) 2012-10-05 2015-07-21 Micron Technology, Inc. Methods of forming an array of openings in a substrate, and related methods of forming a semiconductor device structure
US9177795B2 (en) 2013-09-27 2015-11-03 Micron Technology, Inc. Methods of forming nanostructures including metal oxides
US9229328B2 (en) 2013-05-02 2016-01-05 Micron Technology, Inc. Methods of forming semiconductor device structures, and related semiconductor device structures

Families Citing this family (61)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7767099B2 (en) * 2007-01-26 2010-08-03 International Business Machines Corporaiton Sub-lithographic interconnect patterning using self-assembling polymers
JP2010115832A (en) * 2008-11-12 2010-05-27 Panasonic Corp Method for promoting self-formation of block copolymer and method for forming self-formation pattern of block copolymer using the method for promoting self-formation
IT1392754B1 (en) * 2008-12-18 2012-03-16 St Microelectronics Srl CROSS NANOARRAY WITH ANISOTROPIC ACTIVE ORGANIC LAYER
US8398868B2 (en) * 2009-05-19 2013-03-19 International Business Machines Corporation Directed self-assembly of block copolymers using segmented prepatterns
US8114306B2 (en) * 2009-05-22 2012-02-14 International Business Machines Corporation Method of forming sub-lithographic features using directed self-assembly of polymers
TWI404753B (en) * 2009-07-03 2013-08-11 Nat Univ Tsing Hua Fabrication method of nanomaterials using block copolymer templates
US9012882B2 (en) 2010-02-01 2015-04-21 The Regents Of The University Of California Graphene nanomesh and method of making the same
US8486611B2 (en) 2010-07-14 2013-07-16 Micron Technology, Inc. Semiconductor constructions and methods of forming patterns
JP5300799B2 (en) * 2010-07-28 2013-09-25 株式会社東芝 Pattern forming method and polymer alloy base material
US8232211B1 (en) * 2011-01-20 2012-07-31 International Business Machines Corporation Methods for self-aligned self-assembled patterning enhancement
KR20120126725A (en) * 2011-05-12 2012-11-21 에스케이하이닉스 주식회사 Method for forming semiconductor device
CN103781537B (en) 2011-08-22 2016-04-06 陶氏环球技术有限责任公司 The composite membrane formed by the polymer blend comprising self-assembled block copolymers
CN102320557A (en) * 2011-09-08 2012-01-18 中国科学院研究生院 Method for preparing metal nanometer particles with hexagonal network in lattice distribution on substrate
CN103094095B (en) * 2011-10-28 2015-10-21 中芯国际集成电路制造(北京)有限公司 Manufacture the method for semiconductor device
CN103187245B (en) * 2011-12-30 2015-06-17 中芯国际集成电路制造(上海)有限公司 Method of photoetching of block copolymer through directed self-assembly
JP6228932B2 (en) 2012-02-10 2017-11-08 ボード・オブ・リージエンツ,ザ・ユニバーシテイ・オブ・テキサス・システム Polylactic acid / silicon-containing block copolymer for nanolithography
US9440196B2 (en) 2012-02-21 2016-09-13 Dow Global Technologies Llc Composite membrane
JP5891075B2 (en) * 2012-03-08 2016-03-22 東京応化工業株式会社 Block copolymer-containing composition and pattern reduction method
US9005877B2 (en) 2012-05-15 2015-04-14 Tokyo Electron Limited Method of forming patterns using block copolymers and articles thereof
JP5835123B2 (en) * 2012-06-21 2015-12-24 Jsr株式会社 Self-assembling composition for pattern formation and pattern forming method
JP6239813B2 (en) * 2012-07-18 2017-11-29 株式会社Screenセミコンダクターソリューションズ Substrate processing apparatus and substrate processing method
JP2014033051A (en) * 2012-08-02 2014-02-20 Toshiba Corp Method for forming pattern and method for manufacturing semiconductor device
US8894869B2 (en) * 2012-11-13 2014-11-25 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography process using directed self assembly
EP2733533B1 (en) * 2012-11-14 2018-02-28 IMEC vzw Etching method using block-copolymers
KR101993255B1 (en) * 2013-01-07 2019-06-26 삼성전자주식회사 Method of forming contact holes
US9312220B2 (en) 2013-03-12 2016-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for a low-K dielectric with pillar-type air-gaps
US8980538B2 (en) 2013-03-14 2015-03-17 Tokyo Electron Limited Chemi-epitaxy in directed self-assembly applications using photo-decomposable agents
US8999623B2 (en) 2013-03-14 2015-04-07 Wiscousin Alumni Research Foundation Degradable neutral layers for block copolymer lithography applications
US9147574B2 (en) 2013-03-14 2015-09-29 Tokyo Electron Limited Topography minimization of neutral layer overcoats in directed self-assembly applications
US20140273534A1 (en) 2013-03-14 2014-09-18 Tokyo Electron Limited Integration of absorption based heating bake methods into a photolithography track system
US8975009B2 (en) 2013-03-14 2015-03-10 Tokyo Electron Limited Track processing to remove organic films in directed self-assembly chemo-epitaxy applications
US9209014B2 (en) * 2013-03-15 2015-12-08 Tokyo Electron Limited Multi-step bake apparatus and method for directed self-assembly lithography control
CN103408783A (en) * 2013-04-24 2013-11-27 南京工业大学 Preparation method of porous film with regular vertical pores
US10884333B2 (en) 2013-08-06 2021-01-05 Asml Netherlands B.V. Method of designing lithography features by self-assembly of block copolymer
KR102399752B1 (en) 2013-09-04 2022-05-20 도쿄엘렉트론가부시키가이샤 Uv-assisted stripping of hardened photoresist to create chemical templates for directed self-assembly
US9625815B2 (en) * 2013-09-27 2017-04-18 Intel Corporation Exposure activated chemically amplified directed self-assembly (DSA) for back end of line (BEOL) pattern cutting and plugging
US9793137B2 (en) 2013-10-20 2017-10-17 Tokyo Electron Limited Use of grapho-epitaxial directed self-assembly applications to precisely cut logic lines
US9349604B2 (en) 2013-10-20 2016-05-24 Tokyo Electron Limited Use of topography to direct assembly of block copolymers in grapho-epitaxial applications
KR102066301B1 (en) * 2013-11-25 2020-01-14 도쿄엘렉트론가부시키가이샤 Pattern forming method and heating apparatus
US9184058B2 (en) * 2013-12-23 2015-11-10 Micron Technology, Inc. Methods of forming patterns by using a brush layer and masks
FR3021321B1 (en) * 2014-05-26 2016-06-03 Commissariat Energie Atomique METHOD OF REALIZING PATTERNS BY SELF-ASSEMBLING BLOCK COPOLYMERS
WO2015180966A2 (en) * 2014-05-28 2015-12-03 Asml Netherlands B.V. Methods for providing lithography features on a substrate by self-assembly of block copolymers
KR102270752B1 (en) 2014-08-11 2021-07-01 삼성전자주식회사 Method for forming fine patterns of semiconductor device
US9385129B2 (en) * 2014-11-13 2016-07-05 Tokyo Electron Limited Method of forming a memory capacitor structure using a self-assembly pattern
KR102335109B1 (en) * 2014-12-15 2021-12-03 삼성전자 주식회사 Method of forming fine pattern and method of manufacturing integrated circuit device using the same
US10011713B2 (en) 2014-12-30 2018-07-03 Dow Global Technologies Llc Copolymer formulation for directed self assembly, methods of manufacture thereof and articles comprising the same
US11021630B2 (en) 2014-12-30 2021-06-01 Rohm And Haas Electronic Materials Llc Copolymer formulation for directed self assembly, methods of manufacture thereof and articles comprising the same
US20160186001A1 (en) * 2014-12-30 2016-06-30 Rohm And Haas Electronic Materials Llc Copolymer formulation for directed self assembly, methods of manufacture thereof and articles comprising the same
US10294359B2 (en) 2014-12-30 2019-05-21 Rohm And Haas Electronic Materials Llc Copolymer formulation for directed self assembly, methods of manufacture thereof and articles comprising the same
US9305834B1 (en) * 2014-12-30 2016-04-05 GlobalFoundries, Inc. Methods for fabricating integrated circuits using designs of integrated circuits adapted to directed self-assembly fabrication to form via and contact structures
CN104598679B (en) * 2015-01-14 2018-01-26 中国石油大学(华东) A kind of preparation method and its simulation verification method of self-assembled nanometer coil structure
TWI627219B (en) 2015-02-26 2018-06-21 羅門哈斯電子材料有限公司 Copolymer formulation for directed self-assembly, methods of manufacture thereof and articles comprising the same
TWI612379B (en) 2015-02-26 2018-01-21 Rohm And Haas Electronic Materials Llc Copolymer formulation for directed self-assembly, methods of manufacture thereof and articles comprising the same
TWI669337B (en) 2015-02-26 2019-08-21 美商羅門哈斯電子材料有限公司 Copolymer formulation for directed self-assembly, methods of manufacture thereof and articles comprising the same
TWI588200B (en) 2015-02-26 2017-06-21 羅門哈斯電子材料有限公司 Copolymer formulation for directed self-assembly, methods of manufacture thereof and articles comprising the same
KR102402958B1 (en) * 2015-11-11 2022-05-27 삼성전자주식회사 Methods of forming patterns of semiconductor devices and methods of manufacturing semiconductor devices
US10056265B2 (en) 2016-03-18 2018-08-21 Taiwan Semiconductor Manufacturing Co., Ltd. Directed self-assembly process with size-restricted guiding patterns
US9947597B2 (en) 2016-03-31 2018-04-17 Tokyo Electron Limited Defectivity metrology during DSA patterning
JP6800242B2 (en) * 2016-06-16 2020-12-16 エルジー・ケム・リミテッド Amphiphile triblock polymer
KR102308953B1 (en) * 2017-03-10 2021-10-05 주식회사 엘지화학 Preparation method of patterened substrate
CN108231984A (en) * 2018-01-31 2018-06-29 华南理工大学 Perovskite patterning diaphragm that a kind of phase separation means are realized and preparation method thereof

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060231525A1 (en) * 1999-06-07 2006-10-19 Koji Asakawa Method for manufacturing porous structure and method for forming pattern
WO2008091741A2 (en) * 2007-01-24 2008-07-31 Micron Technology, Inc. Two-dimensional arrays of holes with sub-lithographic diameters formed by block copolymer self-assembly

Family Cites Families (271)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4623674A (en) * 1985-06-21 1986-11-18 Union Carbide Corporation Polymer/polyols of substituted styrenes and polyurethanes made therefrom
US4877647A (en) * 1986-04-17 1989-10-31 Kansas State University Research Foundation Method of coating substrates with solvated clusters of metal particles
US4797357A (en) 1986-05-30 1989-01-10 Eastman Kodak Company Light-stable reducible compounds and analytical compositions, elements and methods utilizing same
US5328810A (en) 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
US5354489A (en) 1990-08-30 1994-10-11 Asahi Kasei Kogyo Kabushiki Kaisha Method for changing the viscosity of a fluid comprising a liquid crystal compound
US5622668A (en) * 1992-02-07 1997-04-22 The United States Of America As Represented By The Secretary Of The Air Force Method for preparing oriented polymer structures and said structures
DE69315030D1 (en) 1992-08-07 1997-12-11 Fujikura Kasei Kk Electrosensitive composition
US5382373A (en) 1992-10-30 1995-01-17 Lord Corporation Magnetorheological materials based on alloy particles
DE69313132T2 (en) 1992-11-25 1997-12-11 Hoechst Celanese Corp METALION REDUCTION IN ANTI-REFLECTIVE UNDERLAYERS FOR PHOTORESIST
US5482656A (en) * 1993-03-04 1996-01-09 Kabushiki Kaisha Toshiba Non-linear optical devices employing a polysilane composition and a polysilane composition therefor
TW272976B (en) 1993-08-06 1996-03-21 Ciba Geigy Ag
US6776094B1 (en) 1993-10-04 2004-08-17 President & Fellows Of Harvard College Kit For Microcontact Printing
US5512131A (en) 1993-10-04 1996-04-30 President And Fellows Of Harvard College Formation of microstamped patterns on surfaces and derivative articles
US5538655A (en) * 1994-06-29 1996-07-23 Arthur D. Little, Inc. Molecular complexes for use as electrolyte components
US5607824A (en) 1994-07-27 1997-03-04 International Business Machines Corporation Antireflective coating for microlithography
JPH0867893A (en) 1994-08-19 1996-03-12 Lubrizol Corp:The Electrorheological fluid of polar solid and an organic semiconductor
US5620850A (en) 1994-09-26 1997-04-15 President And Fellows Of Harvard College Molecular recognition at surfaces derivatized with self-assembled monolayers
US5700902A (en) 1995-07-27 1997-12-23 Circe Biomedical, Inc. Block copolymers
WO1997006013A1 (en) 1995-08-04 1997-02-20 International Business Machines Corporation Lithographic surface or thin layer modification
US6309580B1 (en) 1995-11-15 2001-10-30 Regents Of The University Of Minnesota Release surfaces, particularly for use in nanoimprint lithography
US5772905A (en) 1995-11-15 1998-06-30 Regents Of The University Of Minnesota Nanoimprint lithography
US5879853A (en) 1996-01-18 1999-03-09 Kabushiki Kaisha Toshiba Top antireflective coating material and its process for DUV and VUV lithography systems
US6096636A (en) 1996-02-06 2000-08-01 Micron Technology, Inc. Methods of forming conductive lines
EP0791856B1 (en) 1996-02-26 2001-10-17 Matsushita Electric Industrial Co., Ltd. Pattern forming material and pattern forming method
US6190949B1 (en) * 1996-05-22 2001-02-20 Sony Corporation Silicon thin film, group of silicon single crystal grains and formation process thereof, and semiconductor device, flash memory cell and fabrication process thereof
US6143647A (en) * 1997-07-24 2000-11-07 Intel Corporation Silicon-rich block copolymers to achieve unbalanced vias
JPH1081889A (en) 1996-09-06 1998-03-31 Bridgestone Corp Powder for electroviscous fluid
US5904824A (en) 1997-03-07 1999-05-18 Beckman Instruments, Inc. Microfluidic electrophoresis device
US5958704A (en) 1997-03-12 1999-09-28 Ddx, Inc. Sensing system for specific substance and molecule detection
US5948470A (en) * 1997-04-28 1999-09-07 Harrison; Christopher Method of nanoscale patterning and products made thereby
US6890624B1 (en) * 2000-04-25 2005-05-10 Nanogram Corporation Self-assembled structures
US6368871B1 (en) * 1997-08-13 2002-04-09 Cepheid Non-planar microstructures for manipulation of fluid samples
US6884842B2 (en) 1997-10-14 2005-04-26 Alnis Biosciences, Inc. Molecular compounds having complementary surfaces to targets
WO1999037705A1 (en) 1997-12-09 1999-07-29 The Regents Of The University Of California Block polymer processing for mesostructured inorganic oxide materials
US6111323A (en) * 1997-12-30 2000-08-29 International Business Machines Corporation Reworkable thermoplastic encapsulant
WO1999034833A1 (en) 1998-01-07 1999-07-15 Shearwater Polymers, Incorporated Degradable heterobifunctional poly(ethylene glycol) acrylates and gels and conjugates derived therefrom
US7282240B1 (en) 1998-04-21 2007-10-16 President And Fellows Of Harvard College Elastomeric mask and use in fabrication of devices
EP1002813B1 (en) 1998-06-05 2009-09-09 Asahi Kasei Kabushiki Kaisha Hydrogenated block copolymer and polypropylene resin composition containing the same
US7074498B2 (en) * 2002-03-22 2006-07-11 Borealis Technical Limited Influence of surface geometry on metal properties
US6897073B2 (en) 1998-07-14 2005-05-24 Zyomyx, Inc. Non-specific binding resistant protein arrays and methods for making the same
US6423410B1 (en) 1998-09-04 2002-07-23 Mds Proteomics, Inc. Ultrasonically generated paramagnetic polymer particles
US6713238B1 (en) * 1998-10-09 2004-03-30 Stephen Y. Chou Microscale patterning and articles formed thereby
KR100699712B1 (en) 1998-11-24 2007-03-27 다우 글로벌 테크놀로지스 인크. A composition containing a cross-linkable matrix precursor and a poragen, and a porous matrix prepared therefrom
AU778153B2 (en) * 1998-12-08 2004-11-18 Gene Logic, Inc. Process for attaching organic molecules to silicon
US6413587B1 (en) 1999-03-02 2002-07-02 International Business Machines Corporation Method for forming polymer brush pattern on a substrate surface
US6270946B1 (en) 1999-03-18 2001-08-07 Luna Innovations, Inc. Non-lithographic process for producing nanoscale features on a substrate
JP4012173B2 (en) 1999-06-07 2007-11-21 株式会社東芝 Porous structure manufacturing method, porous structure forming material, pattern forming method, pattern forming material, electrochemical cell, and hollow fiber filter
JP4127682B2 (en) 1999-06-07 2008-07-30 株式会社東芝 Pattern formation method
CA2372707C (en) * 1999-07-02 2014-12-09 President And Fellows Of Harvard College Nanoscopic wire-based devices, arrays, and method of their manufacture
WO2001017040A1 (en) 1999-08-31 2001-03-08 E Ink Corporation A solvent annealing process for forming a thin semiconductor film with advantageous properties
JP2001110801A (en) 1999-10-05 2001-04-20 Takeshi Yao Pattern formation method, electronic element, optical element, and circuit substrate
US6998152B2 (en) 1999-12-20 2006-02-14 Micron Technology, Inc. Chemical vapor deposition methods utilizing ionic liquids
US6517933B1 (en) 2000-01-18 2003-02-11 Nano-Tex, Llc Hybrid polymer materials
US6423465B1 (en) * 2000-01-28 2002-07-23 International Business Machines Corporation Process for preparing a patterned continuous polymeric brush on a substrate surface
US6573030B1 (en) 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US7163712B2 (en) * 2000-03-03 2007-01-16 Duke University Microstamping activated polymer surfaces
US6423474B1 (en) 2000-03-21 2002-07-23 Micron Technology, Inc. Use of DARC and BARC in flash memory processing
JP2004502554A (en) * 2000-03-22 2004-01-29 ユニバーシティー オブ マサチューセッツ Nano cylinder array
US7491286B2 (en) 2000-04-21 2009-02-17 International Business Machines Corporation Patterning solution deposited thin films with self-assembled monolayers
US6887332B1 (en) 2000-04-21 2005-05-03 International Business Machines Corporation Patterning solution deposited thin films with self-assembled monolayers
US7291284B2 (en) * 2000-05-26 2007-11-06 Northwestern University Fabrication of sub-50 nm solid-state nanostructures based on nanolithography
US6503841B1 (en) * 2000-07-07 2003-01-07 Agere Systems Inc. Oxide etch
US6414164B1 (en) 2000-07-12 2002-07-02 International Business Machines Corporation Synthesis of soluble derivatives of sexithiophene and their use as the semiconducting channels in thin-film filed-effect transistors
WO2002018080A1 (en) 2000-08-03 2002-03-07 Upepo & Maji Inc. Metal colloidal solution composition and conductor or ink for forming semiconductor pattern comprising it and method for forming conductor or semiconductor pattern
JP3591827B2 (en) 2000-08-11 2004-11-24 株式会社東芝 Method for producing compact having fine structure
JP2002083949A (en) 2000-09-07 2002-03-22 Nec Corp Cmos image sensor and method of manufacturing the same
US20020084429A1 (en) 2000-10-17 2002-07-04 Craighead Harold G. Electron-beam patterning of functionalized self-assembled monolayers
WO2002041043A2 (en) 2000-11-14 2002-05-23 The Regents Of The University Of California Inorganic/block copolymer-dye composites and dye doped mesoporous materials for optical and sensing applications
US6358813B1 (en) * 2000-11-15 2002-03-19 International Business Machines Corporation Method for increasing the capacitance of a semiconductor capacitors
NL1016779C2 (en) 2000-12-02 2002-06-04 Cornelis Johannes Maria V Rijn Mold, method for manufacturing precision products with the aid of a mold, as well as precision products, in particular microsieves and membrane filters, manufactured with such a mold.
US6432811B1 (en) * 2000-12-20 2002-08-13 Intel Corporation Method of forming structural reinforcement of highly porous low k dielectric films by Cu diffusion barrier structures
FR2818650B1 (en) * 2000-12-21 2003-02-07 Atofina PROCESS FOR HYDROGENATION OF UNSATURATED BLOCK COPOLYMERS AND HYDROGEN BLOCK COPOLYMERS
US6518194B2 (en) 2000-12-28 2003-02-11 Thomas Andrew Winningham Intermediate transfer layers for nanoscale pattern transfer and nanostructure formation
WO2002056021A2 (en) 2001-01-10 2002-07-18 Symyx Technologies Inc Polymer brushes for immobilizing molecules to a surface
US6566248B1 (en) * 2001-01-11 2003-05-20 Advanced Micro Devices, Inc. Graphoepitaxial conductor cores in integrated circuit interconnects
US6913697B2 (en) * 2001-02-14 2005-07-05 Science & Technology Corporation @ Unm Nanostructured separation and analysis devices for biological membranes
US7189435B2 (en) 2001-03-14 2007-03-13 University Of Massachusetts Nanofabrication
US6537920B1 (en) 2001-03-16 2003-03-25 Advanced Micro Devices, Inc. Formation of vertical transistors using block copolymer lithography
US6924341B2 (en) * 2001-03-30 2005-08-02 The Uab Research Foundation Polymer formation in room temperature ionic liquids
ATE470647T1 (en) * 2001-04-06 2010-06-15 Univ Carnegie Mellon METHOD FOR PRODUCING NANOSTRUCTURED MATERIALS
US20050120902A1 (en) 2001-04-25 2005-06-09 David Adams Edge transfer lithography
US6809210B2 (en) 2001-06-12 2004-10-26 Lucent Technologies Inc. Method of solvating a metal in an aromatic organic liquid
KR100448170B1 (en) * 2001-06-23 2004-09-10 주식회사 태평양 Amphiphilic biodegradable block copolymers comprising polyethylenimine(PEI) as a hydrophilic block and polyester as a hydrophobic block, and self-assembled polymer aggregates in aqueous milieu formed from the block copolymers
US20040263739A1 (en) 2001-07-09 2004-12-30 Henning Sirringhaus Progressive aligned deposition
US6444318B1 (en) * 2001-07-17 2002-09-03 Surmodics, Inc. Self assembling monolayer compositions
DE10142691B4 (en) 2001-08-31 2006-04-20 Infineon Technologies Ag Method for detecting biochemical reactions and a device therefor
US6751491B2 (en) * 2001-09-01 2004-06-15 M Biotech Inc Analyte measuring biosensor chip using image scanning system
DE10145747A1 (en) 2001-09-17 2003-04-03 Solvent Innovation Gmbh Ionic liquids
US6746825B2 (en) * 2001-10-05 2004-06-08 Wisconsin Alumni Research Foundation Guided self-assembly of block copolymer films on interferometrically nanopatterned substrates
US20030108664A1 (en) 2001-10-05 2003-06-12 Kodas Toivo T. Methods and compositions for the formation of recessed electrical features on a substrate
US20030080472A1 (en) 2001-10-29 2003-05-01 Chou Stephen Y. Lithographic method with bonded release layer for molding small patterns
US20040058059A1 (en) 2001-11-07 2004-03-25 Linford Mathew Richard Funtionalized patterned surfaces
US7419772B2 (en) 2001-11-21 2008-09-02 University Of Massachusetts Mesoporous materials and methods
JP3967114B2 (en) 2001-11-22 2007-08-29 株式会社東芝 Processing method
US7087267B2 (en) 2001-11-29 2006-08-08 International Business Machines Corporation Materials and methods for immobilization of catalysts on surfaces and for selective electroless metallization
JP3782357B2 (en) 2002-01-18 2006-06-07 株式会社東芝 Manufacturing method of semiconductor light emitting device
US7115305B2 (en) 2002-02-01 2006-10-03 California Institute Of Technology Method of producing regular arrays of nano-scale objects using nano-structured block-copolymeric materials
US6958572B2 (en) * 2002-02-06 2005-10-25 Ut-Battelle Llc Controlled non-normal alignment of catalytically grown nanostructures in a large-scale synthesis process
CA2475790A1 (en) * 2002-02-11 2003-08-21 Rensselaer Polytechnic Institute Directed assembly of highly-organized carbon nanotube architectures
US7060774B2 (en) 2002-02-28 2006-06-13 Merck Patent Gesellschaft Prepolymer material, polymer material, imprinting process and their use
US6890703B2 (en) * 2002-03-06 2005-05-10 International Business Machines Corporation Preparation of crosslinked particles from polymers having activatible crosslinking groups
US6946332B2 (en) 2002-03-15 2005-09-20 Lucent Technologies Inc. Forming nanoscale patterned thin film metal layers
US7807348B2 (en) 2002-03-20 2010-10-05 Wisconsin Alumni Research Foundation Optical imaging of nanostructured substrates
US20030178707A1 (en) 2002-03-21 2003-09-25 Abbott Donald C. Preplated stamped small outline no-lead leadframes having etched profiles
US6765030B2 (en) 2002-03-22 2004-07-20 The University Of North Carolina At Chapel Hill Methods of forming polymeric structures using carbon dioxide and polymeric structures formed therapy
US20040142578A1 (en) 2002-03-28 2004-07-22 Ulrich Wiesner Thin film nanostructures
US6872645B2 (en) * 2002-04-02 2005-03-29 Nanosys, Inc. Methods of positioning and/or orienting nanostructures
US6656308B2 (en) 2002-04-22 2003-12-02 International Business Machines Corporation Process of fabricating a precision microcontact printing stamp
US7135241B2 (en) 2002-05-24 2006-11-14 Board Of Regents, The University Of Texas System Light-emitting block copolymers composition, process and use
US7307343B2 (en) * 2002-05-30 2007-12-11 Air Products And Chemicals, Inc. Low dielectric materials and methods for making same
US6753250B1 (en) 2002-06-12 2004-06-22 Novellus Systems, Inc. Method of fabricating low dielectric constant dielectric films
US20030235930A1 (en) 2002-06-25 2003-12-25 Lucent Technologies Inc. Multi-impression nanofeature production
US6932934B2 (en) 2002-07-11 2005-08-23 Molecular Imprints, Inc. Formation of discontinuous films during an imprint lithography process
US7077992B2 (en) 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US6908861B2 (en) 2002-07-11 2005-06-21 Molecular Imprints, Inc. Method for imprint lithography using an electric field
US7311943B2 (en) 2002-07-17 2007-12-25 Massachusetts Institute Of Technology Templated monolayer polymerization and replication
US20050008828A1 (en) 2002-07-25 2005-01-13 Trustees Of Stevens Institute Of Technology Patterned polymer microgel and method of forming same
US6957608B1 (en) 2002-08-02 2005-10-25 Kovio, Inc. Contact print methods
DE60333715D1 (en) * 2002-10-30 2010-09-23 Hitachi Ltd Process for the preparation of functional substrates having columnar microcolumns
US6949456B2 (en) * 2002-10-31 2005-09-27 Asm Japan K.K. Method for manufacturing semiconductor device having porous structure with air-gaps
US20040084298A1 (en) * 2002-10-31 2004-05-06 Y.D. Yao Fabrication of nanocomposite thin films for high density magnetic recording media
US6911400B2 (en) 2002-11-05 2005-06-28 International Business Machines Corporation Nonlithographic method to produce self-aligned mask, articles produced by same and compositions for same
US7737237B2 (en) 2002-11-07 2010-06-15 Phodia Chimie Controlled structure copolymer comprising an amphoteric or zwitterionic part
US6699797B1 (en) * 2002-12-17 2004-03-02 Intel Corporation Method of fabrication of low dielectric constant porous metal silicate films
US6930034B2 (en) 2002-12-27 2005-08-16 International Business Machines Corporation Robust ultra-low k interconnect structures using bridge-then-metallization fabrication sequence
US20040124092A1 (en) 2002-12-30 2004-07-01 Black Charles T. Inorganic nanoporous membranes and methods to form same
US7078276B1 (en) 2003-01-08 2006-07-18 Kovio, Inc. Nanoparticles and method for making the same
US6940485B2 (en) 2003-01-15 2005-09-06 Xerox Corporation Flexible micron-thin display device
EP1592551A4 (en) 2003-02-12 2009-05-13 Nantero Inc Nanofabric articles and methods of making the same
TWI323479B (en) 2003-02-12 2010-04-11 Nantero Inc Devices having horizontally-disposed nanofabric articles and methods of making the same
US7001795B2 (en) 2003-02-27 2006-02-21 Micron Technology, Inc. Total internal reflection (TIR) CMOS imager
TW582059B (en) 2003-03-11 2004-04-01 Ind Tech Res Inst Organic component, method for forming organic semiconductor layer with aligned molecules, and method for forming organic component
US7326514B2 (en) 2003-03-12 2008-02-05 Cornell Research Foundation, Inc. Organoelement resists for EUV lithography and methods of making the same
US7135523B2 (en) * 2003-03-14 2006-11-14 Industrial Technology Research Institute Nanoscale helical microstructures and channels from chiral poly(L-lactide) block containing block copolymers
US6812132B2 (en) 2003-03-21 2004-11-02 Intel Corporation Filling small dimension vias using supercritical carbon dioxide
KR100618184B1 (en) * 2003-03-31 2006-08-31 비오이 하이디스 테크놀로지 주식회사 Method of crystallization
US7112617B2 (en) 2003-04-22 2006-09-26 International Business Machines Corporation Patterned substrate with hydrophilic/hydrophobic contrast, and method of use
JP2004335962A (en) 2003-05-12 2004-11-25 Seiko Epson Corp Method for forming thin film pattern, device, its manufacturing method, electro-optical device and electronic apparatus
US20060124467A1 (en) 2003-05-20 2006-06-15 Industrial Technology Research Institute Metal nanodot arrays and fabrication methods thereof
EP1479738A1 (en) 2003-05-20 2004-11-24 DSM IP Assets B.V. Hydrophobic coatings comprising reactive nano-particles
US7632544B2 (en) 2003-05-20 2009-12-15 Industrial Technology Research Institute Nanopatterned templates from oriented degradable diblock copolymer thin films
US6989426B2 (en) * 2003-06-12 2006-01-24 The Hong Kong Polytechnic University Methods for producing di-block polymers
US7009227B2 (en) 2003-06-16 2006-03-07 Micron Technology, Inc. Photodiode structure and image pixel structure
US7045851B2 (en) * 2003-06-20 2006-05-16 International Business Machines Corporation Nonvolatile memory device using semiconductor nanocrystals and method of forming same
GB2403847B (en) 2003-07-01 2005-11-16 Micron Technology Inc Optical channels for multi-level metal optical imagers and method for manufacturing same
US20050238889A1 (en) 2003-07-10 2005-10-27 Nancy Iwamoto Layered components, materials, methods of production and uses thereof
EP1511074B1 (en) * 2003-08-01 2015-01-28 Imec A method for selective removal of high-K material
GB0318817D0 (en) 2003-08-11 2003-09-10 Univ Cambridge Tech Method of making a polymer device
US7361991B2 (en) 2003-09-19 2008-04-22 International Business Machines Corporation Closed air gap interconnect structure
CA2539436A1 (en) 2003-09-23 2005-09-01 Wisconsin Alumni Research Foundation Using liquid crystals to detect affinity microcontact printed biomolecules
US7374867B2 (en) 2003-10-06 2008-05-20 Intel Corporation Enhancing photoresist performance using electric fields
WO2005084175A2 (en) * 2003-10-16 2005-09-15 The Regents Of The University Of California Nanostructures, nanogrooves, and nanowires
US7862849B2 (en) 2003-10-17 2011-01-04 Massachusetts Institute Of Technology Nanocontact printing
US7122482B2 (en) * 2003-10-27 2006-10-17 Molecular Imprints, Inc. Methods for fabricating patterned features utilizing imprint lithography
GB0325748D0 (en) 2003-11-05 2003-12-10 Koninkl Philips Electronics Nv A method of forming a patterned layer on a substrate
US7056757B2 (en) * 2003-11-25 2006-06-06 Georgia Tech Research Corporation Methods of forming oxide masks with submicron openings and microstructures formed thereby
WO2007024323A2 (en) 2005-06-17 2007-03-01 The University Of North Carolina At Chapel Hill Nanoparticle fabrication methods, systems, and materials
US7423164B2 (en) 2003-12-31 2008-09-09 Ut-Battelle, Llc Synthesis of ionic liquids
US6989324B2 (en) 2004-01-15 2006-01-24 The Regents Of The University Of California Fabrication method for arranging ultra-fine particles
US7056849B2 (en) * 2004-01-16 2006-06-06 General Electric Company Nanoscale ordered composites of covalent ceramics for high-temperature structural applications via block-copolymer-assisted assembly and method of making
US7405147B2 (en) 2004-01-30 2008-07-29 International Business Machines Corporation Device and methodology for reducing effective dielectric constant in semiconductor devices
US7030495B2 (en) * 2004-03-19 2006-04-18 International Business Machines Corporation Method for fabricating a self-aligned nanocolumnar airbridge and structure produced thereby
CN100429142C (en) 2004-03-24 2008-10-29 哈尔滨工业大学 Method for adjusting and controlling configuration of self-assembling block copolymer template oriented to nano micro machining
US20060013956A1 (en) * 2004-04-20 2006-01-19 Angelescu Dan E Method and apparatus for providing shear-induced alignment of nanostructure in thin films
US7195733B2 (en) 2004-04-27 2007-03-27 The Board Of Trustees Of The University Of Illinois Composite patterning devices for soft lithography
US7244665B2 (en) 2004-04-29 2007-07-17 Micron Technology, Inc. Wafer edge ring structures and methods of formation
US7625694B2 (en) 2004-05-06 2009-12-01 Micron Technology, Inc. Selective provision of a diblock copolymer material
WO2006076016A2 (en) 2004-05-21 2006-07-20 Krzysztof Matyjaszewski Conducting polymers
EP1759422B1 (en) 2004-06-04 2022-01-26 The Board Of Trustees Of The University Of Illinois Electrical device comprising printable semiconductor elements
KR20070029762A (en) 2004-06-30 2007-03-14 코닌클리케 필립스 일렉트로닉스 엔.브이. Soft lithographic stamp with a chemically patterned surface
WO2006003594A2 (en) 2004-06-30 2006-01-12 Koninklijke Philips Electronics N.V. Soft lithographic stamp with a chemically patterned surface
US7387939B2 (en) 2004-07-19 2008-06-17 Micron Technology, Inc. Methods of forming semiconductor structures and capacitor devices
JP4389055B2 (en) 2004-07-27 2009-12-24 独立行政法人産業技術総合研究所 Highly oriented film of block copolymer-clay nanocomposite and method for producing the same
US8088293B2 (en) 2004-07-29 2012-01-03 Micron Technology, Inc. Methods of forming reticles configured for imprint lithography
US20060030495A1 (en) 2004-08-06 2006-02-09 Gregg George L Jr Bullet lubrication formula
JP2006055982A (en) 2004-08-23 2006-03-02 Ind Technol Res Inst Nanopatterned template from organizing decomposition diblock copolymer thin film
KR20060020830A (en) 2004-09-01 2006-03-07 삼성코닝 주식회사 Method for preparing surfactant-templated, mesostructured thin film with low dielectric constant
US7115525B2 (en) 2004-09-02 2006-10-03 Micron Technology, Inc. Method for integrated circuit fabrication using pitch multiplication
US20060057051A1 (en) 2004-09-10 2006-03-16 Sheng Dai Highly ordered porous carbon materials having well defined nanostructures and method of synthesis
US20060060863A1 (en) * 2004-09-22 2006-03-23 Jennifer Lu System and method for controlling nanostructure growth
JP3926360B2 (en) 2004-10-13 2007-06-06 株式会社東芝 Pattern forming method and structure processing method using the same
US7196314B2 (en) 2004-11-09 2007-03-27 Omnivision Technologies, Inc. Image sensor and pixel having an anti-reflective coating over the photodiode
EP1657070B1 (en) 2004-11-10 2008-04-23 Sony Deutschland GmbH A stamp for soft lithography, in particular micro contact printing and a method of preparing the same
US7323387B2 (en) * 2004-11-12 2008-01-29 Seagate Technology Llc Method to make nano structure below 25 nanometer with high uniformity on large scale
WO2006112887A2 (en) 2004-11-22 2006-10-26 Wisconsin Alumni Research Foundation Methods and compositions for forming aperiodic patterned copolymer films
US20080032238A1 (en) 2004-11-23 2008-02-07 Lu Jennifer Q System and method for controlling the size and/or distribution of catalyst nanoparticles for nanostructure growth
US20060128165A1 (en) 2004-12-13 2006-06-15 3M Innovative Properties Company Method for patterning surface modification
US7666465B2 (en) 2004-12-29 2010-02-23 Intel Corporation Introducing nanotubes in trenches and structures formed thereby
WO2006078952A1 (en) 2005-01-21 2006-07-27 University Of California Methods for fabricating a long-range ordered periodic array of nano-features, and articles comprising same
DE102005005325B4 (en) 2005-02-04 2011-12-15 Adesto Technology Corp., Inc. Method for producing a resistively switching non-volatile memory cell
US7341788B2 (en) * 2005-03-11 2008-03-11 International Business Machines Corporation Materials having predefined morphologies and methods of formation thereof
US7514764B2 (en) 2005-03-23 2009-04-07 Wisconsin Alumni Research Foundation Materials and methods for creating imaging layers
US7855046B2 (en) 2005-04-07 2010-12-21 The University Of North Carolina At Charlotte Method and apparatus for fabricating shaped structures and shaped structures including one- , two- or three-dimensional patterns incorporated therein
KR100634327B1 (en) 2005-04-13 2006-10-13 한국기계연구원 Electronic element production method and production device
US20060257633A1 (en) 2005-04-27 2006-11-16 Hitachi Maxell, Ltd. Method for modifying surface of polymer substrate, method for forming plated film on polymer substrate, method for producing polymer member, and coating member
US20060249784A1 (en) 2005-05-06 2006-11-09 International Business Machines Corporation Field effect transistor device including an array of channel elements and methods for forming
US7767129B2 (en) 2005-05-11 2010-08-03 Micron Technology, Inc. Imprint templates for imprint lithography, and methods of patterning a plurality of substrates
US7371684B2 (en) 2005-05-16 2008-05-13 International Business Machines Corporation Process for preparing electronics structures using a sacrificial multilayer hardmask scheme
US8399057B2 (en) 2005-06-08 2013-03-19 The Regents Of The University Of California Ordered vertically oriented porous inorganic films produced through solution processing
US7396781B2 (en) 2005-06-09 2008-07-08 Micron Technology, Inc. Method and apparatus for adjusting feature size and position
KR100668846B1 (en) 2005-06-10 2007-01-16 주식회사 하이닉스반도체 Method of manufacturing phase change RAM device
US7771917B2 (en) 2005-06-17 2010-08-10 Micron Technology, Inc. Methods of making templates for use in imprint lithography
US7118784B1 (en) * 2005-06-27 2006-10-10 The Regents Of The University Of California Method and apparatus for controlling nucleation in self-assembled films
US7507618B2 (en) 2005-06-27 2009-03-24 3M Innovative Properties Company Method for making electronic devices using metal oxide nanoparticles
US7776715B2 (en) 2005-07-26 2010-08-17 Micron Technology, Inc. Reverse construction memory cell
US7306083B2 (en) 2005-07-27 2007-12-11 Gm Global Technology Operations, Inc. Magnetorheological fluid device
AU2006278328A1 (en) 2005-08-04 2007-02-15 Angiotech International Ag Block copolymer compositions and uses thereof
US20070045642A1 (en) 2005-08-25 2007-03-01 Micron Technology, Inc. Solid-state imager and formation method using anti-reflective film for optical crosstalk reduction
US7456928B2 (en) 2005-08-29 2008-11-25 Micron Technology, Inc. Systems and methods for controlling ambient pressure during processing of microfeature workpieces, including during immersion lithography
EP1760527B1 (en) 2005-09-05 2012-06-06 DWI an der RWTH Aachen e.V. Photochemical method for manufacturing nanometrically surface-decorated substrates
JP4598639B2 (en) 2005-09-27 2010-12-15 Okiセミコンダクタ株式会社 Manufacturing method of semiconductor device
US20070183025A1 (en) 2005-10-31 2007-08-09 Koji Asakawa Short-wavelength polarizing elements and the manufacture and use thereof
WO2007055041A1 (en) 2005-11-10 2007-05-18 National University Corporation Kyoto Institute Of Technology Membrane of block copolymer with oriented cylinder structure and process for producing the same
US20070122749A1 (en) 2005-11-30 2007-05-31 Fu Peng F Method of nanopatterning, a resist film for use therein, and an article including the resist film
EP1811524B1 (en) 2006-01-18 2008-04-16 Consiglio Nazionale Delle Ricerche Nanometric device for the measurement of the conductivity and quantum effects of individual molecules and methods for the manufacture and use thereof
JP2009523632A (en) 2006-01-20 2009-06-25 プレックストロニクス インコーポレーティッド Electrostatic coatings and articles containing polythiophene
JP2007194175A (en) 2006-01-23 2007-08-02 Seiko Epson Corp Ink for conductor pattern, conductor pattern, wiring board, electro-optical device and electronic equipment
US7347953B2 (en) 2006-02-02 2008-03-25 International Business Machines Corporation Methods for forming improved self-assembled patterns of block copolymers
US20080073743A1 (en) 2006-02-17 2008-03-27 Lockheed Martin Corporation Templated growth of semiconductor nanostructures, related devices and methods
US20070208159A1 (en) 2006-03-02 2007-09-06 General Electric Company Poly(arylene ether) block copolymer compositions, methods, and articles
US7579278B2 (en) 2006-03-23 2009-08-25 Micron Technology, Inc. Topography directed patterning
US20070222995A1 (en) * 2006-03-27 2007-09-27 Jennifer Lu Artifact having a textured metal surface with nanometer-scale features and method for fabricating same
KR100753542B1 (en) 2006-04-19 2007-08-30 삼성전자주식회사 Polymer resin composition, method of forming a pattern using the same and method of manufacturing a capacitor using the same
US8080822B2 (en) 2006-05-22 2011-12-20 Nanyang Technological University Solution-processed inorganic films for organic thin film transistors
US7723009B2 (en) * 2006-06-02 2010-05-25 Micron Technology, Inc. Topography based patterning
US20070289943A1 (en) 2006-06-14 2007-12-20 Jennifer Lu Block copolymer mask for defining nanometer-scale structures
US7605081B2 (en) * 2006-06-19 2009-10-20 International Business Machines Corporation Sub-lithographic feature patterning using self-aligned self-assembly polymers
JP4673266B2 (en) 2006-08-03 2011-04-20 日本電信電話株式会社 Pattern forming method and mold
US20080038467A1 (en) 2006-08-11 2008-02-14 Eastman Kodak Company Nanostructured pattern method of manufacture
JP4421582B2 (en) 2006-08-15 2010-02-24 株式会社東芝 Pattern formation method
US20080047930A1 (en) 2006-08-23 2008-02-28 Graciela Beatriz Blanchet Method to form a pattern of functional material on a substrate
KR100739000B1 (en) 2006-09-11 2007-07-12 삼성전자주식회사 Methods of forming a phase change memory device
KR100771886B1 (en) 2006-09-27 2007-11-01 삼성전자주식회사 Method of forming fine contact hole and method of fabricating semiconductor device using block copolymer
US7658773B2 (en) 2006-09-29 2010-02-09 Qimonda Ag Method for fabricating a solid electrolyte memory device and solid electrolyte memory device
TWI311337B (en) 2006-10-02 2009-06-21 Au Optronics Corporatio Multi-domain vertical alignment pixel structure and fabrication method thereof
US7592247B2 (en) * 2006-10-04 2009-09-22 International Business Machines Corporation Sub-lithographic local interconnects, and methods for forming same
US7553760B2 (en) * 2006-10-19 2009-06-30 International Business Machines Corporation Sub-lithographic nano interconnect structures, and method for forming same
US8343578B2 (en) * 2006-10-30 2013-01-01 International Business Machines Corporation Self-assembled lamellar microdomains and method of alignment
US7560222B2 (en) 2006-10-31 2009-07-14 International Business Machines Corporation Si-containing polymers for nano-pattern device fabrication
US7514339B2 (en) * 2007-01-09 2009-04-07 International Business Machines Corporation Method for fabricating shallow trench isolation structures using diblock copolymer patterning
KR20080069000A (en) 2007-01-22 2008-07-25 삼성전자주식회사 Liquid crystal display
US7767099B2 (en) 2007-01-26 2010-08-03 International Business Machines Corporaiton Sub-lithographic interconnect patterning using self-assembling polymers
WO2008096335A2 (en) 2007-02-07 2008-08-14 Yeda Research And Development Co. Ltd. Producing an array of nanoscale structures on a substrate surface via a self-assembled template
US7964107B2 (en) * 2007-02-08 2011-06-21 Micron Technology, Inc. Methods using block copolymer self-assembly for sub-lithographic patterning
US8083953B2 (en) * 2007-03-06 2011-12-27 Micron Technology, Inc. Registered structure formation via the application of directed thermal energy to diblock copolymer films
US8557128B2 (en) 2007-03-22 2013-10-15 Micron Technology, Inc. Sub-10 nm line features via rapid graphoepitaxial self-assembly of amphiphilic monolayers
US7999160B2 (en) * 2007-03-23 2011-08-16 International Business Machines Corporation Orienting, positioning, and forming nanoscale structures
US7888228B2 (en) 2007-04-05 2011-02-15 Adesto Technology Corporation Method of manufacturing an integrated circuit, an integrated circuit, and a memory module
US7959975B2 (en) 2007-04-18 2011-06-14 Micron Technology, Inc. Methods of patterning a substrate
US8097175B2 (en) 2008-10-28 2012-01-17 Micron Technology, Inc. Method for selectively permeating a self-assembled block copolymer, method for forming metal oxide structures, method for forming a metal oxide pattern, and method for patterning a semiconductor structure
US8294139B2 (en) * 2007-06-21 2012-10-23 Micron Technology, Inc. Multilayer antireflection coatings, structures and devices including the same and methods of making the same
US8372295B2 (en) * 2007-04-20 2013-02-12 Micron Technology, Inc. Extensions of self-assembled structures to increased dimensions via a “bootstrap” self-templating method
DE102007024653A1 (en) 2007-05-26 2008-12-04 Forschungszentrum Karlsruhe Gmbh Stamp for microcontact printing and process for its preparation
US8404124B2 (en) 2007-06-12 2013-03-26 Micron Technology, Inc. Alternating self-assembling morphologies of diblock copolymers controlled by variations in surfaces
US8080615B2 (en) * 2007-06-19 2011-12-20 Micron Technology, Inc. Crosslinkable graft polymer non-preferentially wetted by polystyrene and polyethylene oxide
KR101291223B1 (en) 2007-08-09 2013-07-31 한국과학기술원 Method of forming fine pattern using block copolymer
US7732533B2 (en) 2007-08-31 2010-06-08 Micron Technology, Inc. Zwitterionic block copolymers and methods
US7989026B2 (en) * 2008-01-12 2011-08-02 International Business Machines Corporation Method of use of epoxy-containing cycloaliphatic acrylic polymers as orientation control layers for block copolymer thin films
US8999492B2 (en) 2008-02-05 2015-04-07 Micron Technology, Inc. Method to produce nanometer-sized features with directed assembly of block copolymers
US8101261B2 (en) 2008-02-13 2012-01-24 Micron Technology, Inc. One-dimensional arrays of block copolymer cylinders and applications thereof
US7696085B2 (en) * 2008-02-20 2010-04-13 International Business Machines Corporation Dual damascene metal interconnect structure having a self-aligned via
US8168468B2 (en) 2008-02-29 2012-05-01 Freescale Semiconductor, Inc. Method of making a semiconductor device including a bridgeable material
US8426313B2 (en) 2008-03-21 2013-04-23 Micron Technology, Inc. Thermal anneal of block copolymer films with top interface constrained to wet both blocks with equal preference
US8425982B2 (en) 2008-03-21 2013-04-23 Micron Technology, Inc. Methods of improving long range order in self-assembly of block copolymer films with ionic liquids
US8114300B2 (en) * 2008-04-21 2012-02-14 Micron Technology, Inc. Multi-layer method for formation of registered arrays of cylindrical pores in polymer films
US8114301B2 (en) 2008-05-02 2012-02-14 Micron Technology, Inc. Graphoepitaxial self-assembly of arrays of downward facing half-cylinders
US8114468B2 (en) 2008-06-18 2012-02-14 Boise Technology, Inc. Methods of forming a non-volatile resistive oxide memory array
US8088551B2 (en) 2008-10-09 2012-01-03 Micron Technology, Inc. Methods of utilizing block copolymer to form patterns
US8173034B2 (en) 2008-11-17 2012-05-08 Micron Technology, Inc. Methods of utilizing block copolymer to form patterns
US8314206B2 (en) 2008-12-02 2012-11-20 Micron Technology, Inc. Block copolymer-comprising compositions and methods of purifying PS-b-PXVP
US8834956B2 (en) 2009-06-22 2014-09-16 Micron Technology, Inc. Methods of utilizing block copolymer to form patterns

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060231525A1 (en) * 1999-06-07 2006-10-19 Koji Asakawa Method for manufacturing porous structure and method for forming pattern
WO2008091741A2 (en) * 2007-01-24 2008-07-31 Micron Technology, Inc. Two-dimensional arrays of holes with sub-lithographic diameters formed by block copolymer self-assembly

Non-Patent Citations (9)

* Cited by examiner, † Cited by third party
Title
DARLING ET AL: "Directing the self-assembly of block copolymers" PROGRESS IN POLYMER SCIENCE, PERGAMON PRESS, OXFORD, GB, vol. 32, no. 10, 28 September 2007 (2007-09-28), pages 1152-1204, XP022277243 ISSN: 0079-6700 *
HARRISON C ET AL: "Layer by layer imaging of diblock copolymer films with a scanning electron microscope" POLYMER, ELSEVIER SCIENCE PUBLISHERS B.V, GB, vol. 39, no. 13, 1 June 1998 (1998-06-01), pages 2733-2744, XP004112216 ISSN: 0032-3861 *
KIM S H ET AL: "Solvent-induced ordering in thin film diblock copolymer/homopolymer mixtures" 27 December 2004 (2004-12-27), ADVANCED MATERIALS, WILEY-VCH VERLAG, WEINHEIM, DE, PAGE(S) 2119 - 2123 , XP007910022 ISSN: 0935-9648 the whole document *
KIM SU-JIN ET AL: "Hybrid nanofabrication processes utilizing diblock copolymer nanotemplate prepared by self-assembled monolayer based surface neutralization" JOURNAL OF VACUUM SCIENCE AND TECHNOLOGY: PART B, AVS / AIP, MELVILLE, NEW YORK, NY, US, vol. 26, no. 1, 16 January 2008 (2008-01-16), pages 189-194, XP012114071 ISSN: 1071-1023 *
LA YOUNG-HYE ET AL: "Pixelated chemically amplified resists: Investigation of material structure on the spatial distribution of photoacids and line edge roughness" JOURNAL OF VACUUM SCIENCE AND TECHNOLOGY: PART B, AVS / AIP, MELVILLE, NEW YORK, NY, US, vol. 25, no. 6, 11 December 2007 (2007-12-11), pages 2508-2513, XP012105512 ISSN: 1071-1023 *
ROBERTO OLAYO-VALLES ET AL: "Large area nanolithographic templates by selective etching of chemically stained block copolymer thin films" JOURNAL OF MATERIALS CHEMISTRY, THE ROYAL SOCIETY OF CHEMISTRY, CAMBRIDGE, GB, vol. 14, 1 January 2004 (2004-01-01), pages 2729-2731, XP007910020 ISSN: 0959-9428 [retrieved on 2004-08-18] *
See also references of EP2262721A2 *
SPIE, PO BOX 10 BELLINGHAM WA 98227-0010 USA, 9 March 2006 (2006-03-09), XP040221377 *
YANG XIAOMIN ET AL: "Nanoscopic templates using self-assembled cylindrical diblock copolymers for patterned media" JOURNAL OF VACUUM SCIENCE AND TECHNOLOGY: PART B, AVS / AIP, MELVILLE, NEW YORK, NY, US, vol. 22, no. 6, 13 December 2004 (2004-12-13), pages 3331-3334, XP012074725 ISSN: 1071-1023 *

Cited By (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8512846B2 (en) 2007-01-24 2013-08-20 Micron Technology, Inc. Two-dimensional arrays of holes with sub-lithographic diameters formed by block copolymer self-assembly
US8394483B2 (en) 2007-01-24 2013-03-12 Micron Technology, Inc. Two-dimensional arrays of holes with sub-lithographic diameters formed by block copolymer self-assembly
US8409449B2 (en) 2007-03-06 2013-04-02 Micron Technology, Inc. Registered structure formation via the application of directed thermal energy to diblock copolymer films
US8083953B2 (en) 2007-03-06 2011-12-27 Micron Technology, Inc. Registered structure formation via the application of directed thermal energy to diblock copolymer films
US8784974B2 (en) 2007-03-22 2014-07-22 Micron Technology, Inc. Sub-10 NM line features via rapid graphoepitaxial self-assembly of amphiphilic monolayers
US8801894B2 (en) 2007-03-22 2014-08-12 Micron Technology, Inc. Sub-10 NM line features via rapid graphoepitaxial self-assembly of amphiphilic monolayers
US9768021B2 (en) 2007-04-18 2017-09-19 Micron Technology, Inc. Methods of forming semiconductor device structures including metal oxide structures
US9276059B2 (en) 2007-04-18 2016-03-01 Micron Technology, Inc. Semiconductor device structures including metal oxide structures
US8956713B2 (en) 2007-04-18 2015-02-17 Micron Technology, Inc. Methods of forming a stamp and a stamp
US9142420B2 (en) 2007-04-20 2015-09-22 Micron Technology, Inc. Extensions of self-assembled structures to increased dimensions via a “bootstrap” self-templating method
US8372295B2 (en) 2007-04-20 2013-02-12 Micron Technology, Inc. Extensions of self-assembled structures to increased dimensions via a “bootstrap” self-templating method
US9257256B2 (en) 2007-06-12 2016-02-09 Micron Technology, Inc. Templates including self-assembled block copolymer films
US8404124B2 (en) 2007-06-12 2013-03-26 Micron Technology, Inc. Alternating self-assembling morphologies of diblock copolymers controlled by variations in surfaces
US8445592B2 (en) 2007-06-19 2013-05-21 Micron Technology, Inc. Crosslinkable graft polymer non-preferentially wetted by polystyrene and polyethylene oxide
US8513359B2 (en) 2007-06-19 2013-08-20 Micron Technology, Inc. Crosslinkable graft polymer non preferentially wetted by polystyrene and polyethylene oxide
US8785559B2 (en) 2007-06-19 2014-07-22 Micron Technology, Inc. Crosslinkable graft polymer non-preferentially wetted by polystyrene and polyethylene oxide
US8551808B2 (en) 2007-06-21 2013-10-08 Micron Technology, Inc. Methods of patterning a substrate including multilayer antireflection coatings
US10828924B2 (en) 2008-02-05 2020-11-10 Micron Technology, Inc. Methods of forming a self-assembled block copolymer material
US8999492B2 (en) 2008-02-05 2015-04-07 Micron Technology, Inc. Method to produce nanometer-sized features with directed assembly of block copolymers
US10005308B2 (en) 2008-02-05 2018-06-26 Micron Technology, Inc. Stamps and methods of forming a pattern on a substrate
US11560009B2 (en) 2008-02-05 2023-01-24 Micron Technology, Inc. Stamps including a self-assembled block copolymer material, and related methods
US8642157B2 (en) 2008-02-13 2014-02-04 Micron Technology, Inc. One-dimensional arrays of block copolymer cylinders and applications thereof
US9315609B2 (en) 2008-03-21 2016-04-19 Micron Technology, Inc. Thermal anneal of block copolymer films with top interface constrained to wet both blocks with equal preference
US9682857B2 (en) 2008-03-21 2017-06-20 Micron Technology, Inc. Methods of improving long range order in self-assembly of block copolymer films with ionic liquids and materials produced therefrom
US8641914B2 (en) 2008-03-21 2014-02-04 Micron Technology, Inc. Methods of improving long range order in self-assembly of block copolymer films with ionic liquids
US8633112B2 (en) 2008-03-21 2014-01-21 Micron Technology, Inc. Thermal anneal of block copolymer films with top interface constrained to wet both blocks with equal preference
US11282741B2 (en) 2008-03-21 2022-03-22 Micron Technology, Inc. Methods of forming a semiconductor device using block copolymer materials
US10153200B2 (en) 2008-03-21 2018-12-11 Micron Technology, Inc. Methods of forming a nanostructured polymer material including block copolymer materials
US8425982B2 (en) 2008-03-21 2013-04-23 Micron Technology, Inc. Methods of improving long range order in self-assembly of block copolymer films with ionic liquids
US8455082B2 (en) 2008-04-21 2013-06-04 Micron Technology, Inc. Polymer materials for formation of registered arrays of cylindrical pores
US8993088B2 (en) 2008-05-02 2015-03-31 Micron Technology, Inc. Polymeric materials in self-assembled arrays and semiconductor structures comprising polymeric materials
US8114301B2 (en) 2008-05-02 2012-02-14 Micron Technology, Inc. Graphoepitaxial self-assembly of arrays of downward facing half-cylinders
US8518275B2 (en) 2008-05-02 2013-08-27 Micron Technology, Inc. Graphoepitaxial self-assembly of arrays of downward facing half-cylinders
US8669645B2 (en) 2008-10-28 2014-03-11 Micron Technology, Inc. Semiconductor structures including polymer material permeated with metal oxide
WO2010079005A1 (en) * 2009-01-12 2010-07-15 International Business Machines Corporation Method for reducing tip-to-tip spacing between lines
US8361704B2 (en) 2009-01-12 2013-01-29 International Business Machines Corporation Method for reducing tip-to-tip spacing between lines
US8450418B2 (en) 2010-08-20 2013-05-28 Micron Technology, Inc. Methods of forming block copolymers, and block copolymer compositions
US9431605B2 (en) 2011-11-02 2016-08-30 Micron Technology, Inc. Methods of forming semiconductor device structures
US8900963B2 (en) 2011-11-02 2014-12-02 Micron Technology, Inc. Methods of forming semiconductor device structures, and related structures
US9087699B2 (en) 2012-10-05 2015-07-21 Micron Technology, Inc. Methods of forming an array of openings in a substrate, and related methods of forming a semiconductor device structure
US9229328B2 (en) 2013-05-02 2016-01-05 Micron Technology, Inc. Methods of forming semiconductor device structures, and related semiconductor device structures
US10049874B2 (en) 2013-09-27 2018-08-14 Micron Technology, Inc. Self-assembled nanostructures including metal oxides and semiconductor structures comprised thereof
US9177795B2 (en) 2013-09-27 2015-11-03 Micron Technology, Inc. Methods of forming nanostructures including metal oxides
US11532477B2 (en) 2013-09-27 2022-12-20 Micron Technology, Inc. Self-assembled nanostructures including metal oxides and semiconductor structures comprised thereof

Also Published As

Publication number Publication date
KR20100112196A (en) 2010-10-18
CN101952195B (en) 2012-11-28
US20090200646A1 (en) 2009-08-13
TWI462871B (en) 2014-12-01
US20120076978A1 (en) 2012-03-29
KR101262460B1 (en) 2013-05-08
EP2262721A2 (en) 2010-12-22
US8101261B2 (en) 2012-01-24
WO2009102551A3 (en) 2009-11-26
CN101952195A (en) 2011-01-19
TW200951065A (en) 2009-12-16
US8642157B2 (en) 2014-02-04

Similar Documents

Publication Publication Date Title
US8101261B2 (en) One-dimensional arrays of block copolymer cylinders and applications thereof
US11282741B2 (en) Methods of forming a semiconductor device using block copolymer materials
US8455082B2 (en) Polymer materials for formation of registered arrays of cylindrical pores
EP2146925B1 (en) Extensions of self-assembled structures to increased dimensions via a "bootstrap" self-templating method
US9257256B2 (en) Templates including self-assembled block copolymer films
US8512846B2 (en) Two-dimensional arrays of holes with sub-lithographic diameters formed by block copolymer self-assembly

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 200980105024.0

Country of ref document: CN

121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 09711291

Country of ref document: EP

Kind code of ref document: A2

NENP Non-entry into the national phase

Ref country code: DE

WWE Wipo information: entry into national phase

Ref document number: 2009711291

Country of ref document: EP

ENP Entry into the national phase

Ref document number: 20107020026

Country of ref document: KR

Kind code of ref document: A