WO2010025264A1 - Two-step hardmask fabrication methodology for silicon waveguides - Google Patents

Two-step hardmask fabrication methodology for silicon waveguides Download PDF

Info

Publication number
WO2010025264A1
WO2010025264A1 PCT/US2009/055213 US2009055213W WO2010025264A1 WO 2010025264 A1 WO2010025264 A1 WO 2010025264A1 US 2009055213 W US2009055213 W US 2009055213W WO 2010025264 A1 WO2010025264 A1 WO 2010025264A1
Authority
WO
WIPO (PCT)
Prior art keywords
layer
hardmask
waveguide
etching
silicon
Prior art date
Application number
PCT/US2009/055213
Other languages
French (fr)
Inventor
Daniel N. Carothers
Craig M. Hill
Andrew Ts Pomerene
Original Assignee
Bae Systems Information And Electronic Systems Integration Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Bae Systems Information And Electronic Systems Integration Inc. filed Critical Bae Systems Information And Electronic Systems Integration Inc.
Priority to EP09810591A priority Critical patent/EP2318865A1/en
Publication of WO2010025264A1 publication Critical patent/WO2010025264A1/en

Links

Classifications

    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B6/13Integrated optical circuits characterised by the manufacturing method
    • G02B6/136Integrated optical circuits characterised by the manufacturing method by etching
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y20/00Nanooptics, e.g. quantum optics or photonic crystals
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B6/122Basic optical elements, e.g. light-guiding paths
    • G02B6/1223Basic optical elements, e.g. light-guiding paths high refractive index type, i.e. high-contrast waveguides
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B2006/12035Materials
    • G02B2006/12061Silicon
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B2006/12083Constructional arrangements
    • G02B2006/12097Ridge, rib or the like

Definitions

  • the invention relates to semiconductors, and more particularly, to a fabrication methodology for silicon waveguides.
  • waveguide structures that can be used to realize an optical waveguide in silicon, such as ridge and channel waveguides.
  • light is typically guided in a high refractive index material (typically referred to as the waveguide core) that is surrounded by a lower index material (typically referred to as the waveguide cladding).
  • a channel waveguide is usually formed by depositing a high refractive index core material on a low refractive index bottom cladding material. Excess of the high refractive index material to either side of the channel is removed down to the underlying oxide using standard lithography processing (i.e., mask and etch). Once the channel is formed, a low refractive index upper cladding is deposited around the channel. The mismatch in refractive index between the core and the cladding effectively operates Lo contain radiation within the channel of the waveguide.
  • a ridge waveguide is a variation on the channel waveguide, wherein the high refractive index core material is only partially etched back to the underlying oxide, leaving a so-called slab to either side of the ridge. This lowers the in-plane refractive index contrast, which generally decreases scattering loss.
  • both ridge and channel type waveguide structures are used.
  • the slab of the ridge waveguide is etched after the channel waveguides are fully formed.
  • a small window in resist is opened to etch the small slab area, since other finished waveguide structures have to be protected from the etching process associated with forming the slab.
  • This subsequent slab etch processing generally leads to large etching non-uniformities due to uneven plasma loading and increased sidewall roughness of waveguides. Moreover, the process typically does not yield repeatable results.
  • One embodiment of the present invention provides a method of making a silicon waveguide structure.
  • the method includes depositing a hardmask layer on a substrate having a silicon layer on an oxide layer, and then etching the hardmask layer to provide a patterned hardmask defining patterns for a waveguide and at least one other structure.
  • the method continues with etching partway down the silicon layer with the patterned hardmask in place to form at least part of the waveguide and the at least one other structure.
  • the method further includes patterning a slab of the at ieast one other structure (e.g., using photoresist or other suitable mask technology), and etching the remainder of the silicon layer down to the oxide layer with the patterned hardmask in place, thereby defining the slab of the at least one other structure and more of the waveguide.
  • the at least one other structure can be or otherwise include, for example, a ridge waveguide or a salicide heater structure (or any other device that can be formed on the substrate).
  • the hardmask layer includes a top layer that acts as a hardmask and polish stop for chemical-mechanical planarization (CMP), and a bottom layer that acts as an etch stop for subsequent removal of the top hardmask layer.
  • CMP chemical-mechanical planarization
  • the top layer is a nitride layer and the bottom layer is an oxide layer.
  • etching the hardmask layer to provide a patterned hardmask is performed with a pattern of photoresist in place, and etching partway down the silicon layer is carried out with both the patterned hardmask and photoresist in place.
  • the method prior to patterning the slab, the method further comprises removing the photoresist used to pattern the hardmask.
  • depositing the hardmask layer comprises depositing a bottom layer of silicon oxide, and then depositing a top layer of nitride.
  • the waveguide is a channel waveguide and the at least one other structure is a ridge waveguide
  • the method includes depositing a cladding layer over the ridge and channel waveguides, and plan ⁇ rizing the cladding layer using a CMP process, wherein the CMP process stops on or partially removes the top layer of nitride.
  • the method may include removing the patterned hardmask using an etch process (e.g., removing the top hardmask layer using phosphoric acid, and removing the bottom hardmask layer with hydrofluoric acid; other suitable hardmask removal schemes can be used, depending on the hardniask composition).
  • the method may include continuing with one or more CMOS based fabrication processes, In some embodiments, etching the hardmask layer to provide a patterned hardniask and etching partway down the silicon layer are carried out as a single etching process.
  • Figure 1 illustrates a method of making a silicon waveguide structure, in accordance with an embodiment of the present invention.
  • Figure 2a is an image showing a perspective view of a ridge waveguide fabricated in accordance with an embodiment of the present invention.
  • Figure 2b is an image showing a cross-section side view of a ridge waveguide fabricated in accordance with an embodiment of the present invention.
  • Figure 2c is an image showing a cross-section side view of a channel waveguide fabricated in accordance with an embodiment of the present invention.
  • Figure 3 is a perspective view of an optical waveguide circuit fabricated in accordance with an embodiment of the present invention.
  • Figure 4 illustrates a method of making the waveguide structure shown in Figure 3, in accordance with an embodiment of the present invention.
  • the techniques facilitate the fabrication of a silicon high refractive index contrast waveguide with both channel and ridge type configurations, ⁇ n another particular example case, the techniques facilitate the fabrication of a silicon high refractive index contrast waveguide with (e.g., channel or ridge configuration) and a salicide heater structure for provided phase control in accordance with thermo-optic effect.
  • conventional fabrication techniques for a ridge waveguide generally involve the partial etching of a slab portion to form the ridge.
  • this partial etch process for forming the ridge into the silicon is delayed until after all of the channel waveguides are completely formed, which generally leads to large etching non-uniformities and does not yield repeatabfe results.
  • Such conventional fabrication techniques also generally lead to mis-aiignment issues where slab and channel waveguides are intended to couple into each other.
  • a two-step hardmask technology is provided herein that enables a stable etch base within semiconductor processing environments, such as the CMOS fabrication environment and other suitable fabrication environments.
  • the process is two-step in that there is deposition of a two-layer hardmask, followed by a first photolithographic pattern, followed by a first silicon etch, then a second photolithographic pattern, and then a second silicon etch.
  • the process can be used, for example, to form a waveguide structure having both ridge and channel configurations, all achieved using the same hardmask.
  • the second photolithographic pattern allows for the formation of the lower electrical contacts to the waveguides without a complicated rework of the hardmask (i.e., the hardmask serves as the channel waveguide mask through multiple etches, preserving the sidewall of the waveguide).
  • the two-step process includes deposition of a two-layer hardmask of oxide and nitride over a silicon-on- insulator (SOI) or deposited guide, and then etching the active area and waveguide pattern into the hardmask.
  • SOI silicon-on- insulator
  • an '"active area is a semiconductor term which defines the areas where electronic components (e.g., MOSFETs or other such gate-level components and modulators, salicide structures, etc) will be located.
  • This standard layer is combined into the waveguide layer, and both layers are processed as one in an efficient manner that avoids etching non-uniformities associated with conventional techniques.
  • This first etch is a partial etch and leaves a prescribed amount of silicon left on top of the bottom oxide, so that remaining siiicon can be used for the slab region of forthcoming modulators.
  • the hardmask remains on top of the channel waveguide structure, and acts as an etch mask again during the waveguide ridge mask etch.
  • the waveguide ridge mask etch is effectively the second etch in the two-step hardmask waveguide process.
  • this second etch is used to define the edges of the ridge waveguide slabs (or other purposeful slab), and completes the partial etch (first etch step) of the thinned silicon down to the bottom oxide, thereby forming a finished channel waveguide.
  • the exposed thinned slab areas are then ready for selective implants for the modulators prior to the oxide deposition of a shallow trench isolation (STl) fill and polish.
  • STl shallow trench isolation
  • STI is a standard CMOS process step, and is optional depending on the given application.
  • the two-layer hardmask may serve other purposes.
  • the hardmask operates to control the penetration depth and configuration of ion implants used to fo ⁇ n optical modulators and make contact to optical detectors. It also allows for efficient formation of complex structures including waveguides and other structures (such as lateral heaters in thermo-optic circuits).
  • the hardmask also allows integration within a chemical mechanical polishing (CMP) based process.
  • CMP chemical mechanical polishing
  • a top silicon nitride layer acts as the hardmask and as a polish stop layer for CMP, thereby preserving waveguide qualities not only across the wafer, but from wafer to wafer.
  • the nitride layer can be removed, for example, using a phosphoric acid based etch that is selective to bottom hardmask layer of oxide. Removing the nitride allows for recovery of the original perfect waveguide, and continuation with CMOS based fabrication processes.
  • the two-layer hardmask two-step etch technology also enables a number of electronic components (e.g., CMOS or other) having improved operation.
  • Figure 1 illustrates a method of making a silicon waveguide structure, in accordance with an embodiment of the present invention.
  • This process can be used, for instance, to form a channel and/or ridge waveguide structures along with other circuit features, such as a salicide heater structure described in the previously incorporated U.S. Application No. xx/xxx,xxx (Attorney Docket 20070094).
  • the present invention is not intended to be limited to thermo-optic applications, and may alternatively be used with other semiconductor devices and/or processes.
  • the process flow includes steps 103 through 115, each of which will be discussed in turn, along with various corresponding features. This example flow only shows processing up to the second waveguide etch. Additional example processing thereafter will be discussed with reference to Figure 4.
  • the process begins at 103 with an SO ⁇ wafer having silicon on a thick buried oxide or other suitable insulator material layer, or a grown film of amorphous-silicon, poiysilicon or nanosilicon.
  • a high density plasma, chemical vapor deposited (HDP-CVD) silicon dioxide is employed for this purpose.
  • the HDP-CVD approach provides a high degree of control over the uniformity of the oxide cladding.
  • the underlying substrate below the insulator and silicon layers can be, for example, silicon, although other suitable substrate materials can be used, such as gallium arsenide, indium phosphate, and quartz, sapphire, depending on the materials being deposited and giving consideration to factors such as thermal coefficients of expansion. Note that the silicon, insulator, and substrate layers are not labeled in every step in the example process flow shown, but remain constant through the entire process flow unless otherwise indicated (e.g., the silicon layer is etched or otherwise removed at times).
  • bardmask deposition which in this example case involves the deposition of an oxide/nitride hardmask, wherein a bottom layer of oxide is deposited foilowed by a top layer of nitride.
  • Each of these two hardmask layers ranges, for example, from about 50 Angstroms to 1200 Angstroms.
  • the two-layer hardmask allows integration within a CMP based process.
  • a top nitride layer acts as the hardmask and polish stop layer for CMP.
  • the bottom oxide layer acts as a stop for a subsequent removal of the top nitride layer, thereby preserving circuit (e.g., waveguide) qualities not only across the wafer, but from wafer to wafer.
  • circuit e.g., waveguide
  • the method addresses this issue, and continues at 107 with etching (or patterning) the hardmask, so as to provide one or more waveguide patterns.
  • the patterned hardmask features shown in the example embodiment of Figure 1 form a waveguide ridge and waveguide channel.
  • Standard photoresist and etch techniques can be used to pattern the hardmask (e.g., the hardmask not covered by photoresist can be etched away). Note in this example embodiment, that the photoresist forming the pattern is left on top of the hardmask (even after the hardmask is etched) for subsequent processing.
  • the method continues at 109 with the first part of a two-step etch process (not counting the initial etch used in patterning the hardmask at 107).
  • the first etch etches partway down the silicon layer (of the SOI) with the patterned hardmask and the initial photoresist in place.
  • the photoresist is left in place as it is undesirable to remove the wafer from vacuum once the etch has begun, as such removal can lead to undesired final etch profiles or micro-mask defects.
  • removal of the photoresist can further alter the surface.
  • etching at 107 and 109 can be combined into the initial etch process, so as to first etch the patterned hardmask and then the unmasked silicon, in the same tool, without exposing the wafer to air.
  • etching at 107 and 109 can be separate etch processes depending on factors such as the hardmask material employed.
  • etching at 107 and 109 can be implemented with any etch process suitable to remove the targeted portions of exposed hardmask and unmasked silicon. This first etch (up to 109) is to a predetermined depth, sufficient for optical transport or electrical contact.
  • the wafer can be removed from the tool and the initial photoresist used to pattern the hardmask is removed.
  • the hardmask itself is left on to preserve the waveguide (or other circuitry) shape and uniformity for the following second etch.
  • the method may continue with patterning any lower features, if so desired, and as discussed in more detail with reference to Figure 4. Note that hardmask of oxide/nitride remains in place on the channel waveguide.
  • the second etch of the two-step etch process can be carried out. ⁇ n the example flow shown in Figure 1, the second etch defines the shape, or form of the ridge waveguide slab. In more detail, and with reference to the specific embodiment shown in Figure I, the second etch does not have the same high tolerances as the first etch; thus, a photoresist process can be used. In this process, the slab shape are defined with photoresist as shown at 111, and the thin silicon slab is then etched at 113.
  • Standard photoresist and etch techniques can be used to pattern the slab of the ridge waveguide (e.g., the silicon not covered by photoresist or hardmask can be etched away, thereby forming the slab).
  • the resist can be stripped or otherwise removed, as shown at 115.
  • the channel and ridge waveguide structures can be seen, including the newly formed slab. Further note that each of the channel and ridge waveguides are covered with the hardmask, should any subsequent processing be desired (as shown in Figure 4).
  • Subsequent processing may include, for example, deposition of a thick oxide cladding (over the waveguide structures) using an HDP-CVD method to provide uniformity of the cladding index, and then planerizing the oxide surface via a CMP process.
  • this planarizing process is commonly used after shallow trench isolation fill.
  • the nitride part of the hardmask can then be removed with a wet etch (e.g., using phosphoric acid or other suitable etchant, or other suitable removal process).
  • standard semiconductor fabrication e.g., CMOS
  • flow can be used to provide features such as additional oxide layers, via structures, and corresponding metal contacts.
  • the hardmask etch approach as described herein provides a number of benefits. For instance, it allows the waveguide integrity (and other circuit integrity) to be controlled and maintained across multiple etches (i.e., the hardmask acts as a constant pattern for multiple etch s ⁇ eps). In addition, the hardmask process effectively controls the lateral profile of the circuit by controlling the way the effluent etch products develop, and also provides a means of using CMP technologies to pianarize the wafer without modifying the shape of the waveguide (or other circuitry).
  • the evolution of the etch by-product gasses is controlled by moving organic resists in the second silicon etch farther away from the channel waveguides, allowing control of the development of various gas chemistries involving oxygen and hydrogen that evolve as the photoresist is consumed.
  • the nitride is resistant to the CMP action, and can be selectively removed, with respect to oxide, using a wet etch (e.g., phosphoric acid based etch that is selective to the oxide).
  • a CMP process can be used, for example, to planerize cladding that is deposited after step 115, which allows for integration of the resulting waveguide structure into a standard CMOS process or the development of 3D waveguiding structures. One such process will be discussed with reference to Figure 4.
  • Figure 2a is an image showing a perspective view of a ridge waveguide fabricated in accordance with an embodiment of the present invention. The image was created with a scanning electron microscope (SEM) to captures details at the submicron level. The curved ridge and slab portions of the ridge waveguide structure can be seen. This image was taken after the second silicon etch was performed to form the slab and ridge portions of the ridge waveguide. Note that the hardmask is still in place on top of the ridge.
  • SEM scanning electron microscope
  • Figure 2b is a SEM image showing a cross-section side view of a ridge waveguide fabricated in accordance with an embodiment of the present invention. This image was taken after the second silicon etch was performed to form the slab and ridge portions of the ridge waveguide. Note the hardmask is still in place on top of the ridge. Also clearly shown in Figure 2b are the nitride and oxide layers making up the hardmask. The bottom oxide is the insulator of the SOI wafer.
  • Figure 2c is a SEM image showing a cross-section side view of a channel waveguide fabricated in accordance with an embodiment of the present invention. This image was taken after the second silicon etch was performed to form the slab and ridge portions of the ridge waveguide.
  • the silicon was removed down to the underlying oxide layer, thereby further defining the channel. Note the hardmask is still in place on top of the channel, and that the nitride and oxide layers making up the hardmask are also clearly shown.
  • FIG 3 is a perspective view of an optical waveguide circuit fabricated in accordance with an embodiment of the present invention.
  • the thermo- optic circuit includes a silicon channel waveguide and lateral salicide heaters disposed on each side of the silicon channel waveguide.
  • Each salicide heater includes an elongated narrow portion that runs along side the channel waveguide, as well as wider pad portions upon which are formed a number of contact pedestals and the corresponding metal contacts. Vias can be used to couple the contact metal to the salicide heater element.
  • This optical waveguide circuit can be efficiently fabricated using the techniques described herein at Figures 1 and 4.
  • a silicon channel waveguide is a three dimensional silicon waveguide formed and buried in a dielectric media on a silicon substrate.
  • the waveguide has a core that is surrounded by a cladding, where the cladding material typically has a refractive index much lower than that of the core material.
  • This arrangement sometimes referred to as a silicon high index contrast (Si-HIC) waveguide, operates to confine light in a target wavelength range within the waveguide structure, thereby allowing the light to propagate transversely along the core (or channel).
  • the peak optical intensity resides in the core.
  • a channel waveguide is particularly well- suited for allowing higher selective confinement of a TE or TM modes.
  • a channel waveguide can be fabricated using a conventional step-by-step semiconductor processing, including deposition of lower clad layer, deposition of core layer, core etch, deposition of upper clad layer, deposition of metal layer, and metal etch.
  • a lower clad layer is deposited on the silicon substrate, followed by deposition of a core layer (n cjac j is lower than n core , where n is the index of refraction).
  • a channel waveguide pattern is then applied to the core layer using standard photolithography techniques and the channel is formed (e.g., using ultraviolet masking and reactive ion etching).
  • salicide is short for self-aligned suicide, and refers to a semiconductor process for forming electrical contacts between active regions (e.g., source, drain, gale of transistor) a semiconductor device and its interconnect structure (e.g., semiconductor device pins or pads), typically using MOS and CMOS technologies.
  • a conventional salicide process for forming the contacts of such devices involves the heat-induced reaction in the active regions of the semiconductor device of a thin metal film that is in direct contact with an underlying silicon substrate of the device.
  • a typical salicide process begins with deposition of a thin transition metal layer over a fully formed and patterned semiconductor device on a substrate.
  • Example transition metals suitable for use in salicide processes include cobalt, tungsten, nickel, titanium, and platinum.
  • the substrate upon which the semiconductor device is formed and the thin metal film is deposited is then heated, causing the thin film of transition metal to react with the underlying silicon in the active regions of the device (e.g., source, drain, gate of transistor), thereby forming a low-resistance transition metal suicide.
  • the temperature can vary, but is generally set to ensure proper annealing without being so high as to damage the formed semiconductor device.
  • any excess transition metal can be removed (e.g., conventional etching process), thereby leaving salicide contacts in the active regions of the device.
  • the resulting contacts are essentially a compound of the metal and substrate (e.g., CoSi2, TiSi2, etc).
  • a salicide process is used to form salicide heating elements.
  • the salicide heaters allow for greater control over resistivity and uniformity, such that the heaters can operate at CMOS voltage levels (e.g., 0-3.3 volts).
  • the salicide heaters allow larger temperature fluctuations.
  • the salicide heaters do not require shared physical modifications to the waveguide.
  • the salicide heaters employ any ion implantation. Rather, the heaters are free-standing salicide structures, which can be formed using a combination of CMOS and photonic processing steps.
  • the heater structure is distinct from the waveguide structure (i.e., the heater is not embedded in the cladding or core materials making up the waveguide, or otherwise in physical contact with the waveguide).
  • Such freestanding saiicide heaters allow the index of refraction variation to be preserved (i.e., uniformity of the refractive index for the cladding all the way around the waveguide).
  • the salicide heaters are formed using cobalt as the transitional thin film metal, thereby resulting in cobalt salicide (CoSi2) structures that are the result of a combination of CMOS and photonic processing steps.
  • the salicide heaters allow efficient coupling of thermal energy by allowing closer placement of the heater to waveguide, thereby keeping the waveguide below the modal field. This also enables lower power operation and higher speed operation, as the salicide heater does not require as great a thermal load to dissipate into the bulk dielectric.
  • the heater thickness can vary as needed, depending on factors such as power and duration and/or frequency of heating cycles, but in one particular embodiment ranges from about 80 Angstroms to 1000 Angstroms.
  • any suitable thicknesses can be used up to the full thickness of the waveguide.
  • the elongated portions of the salicide heaters running proximate the waveguide can be, for instance, within 0.5 microns (or farther) of the waveguide channel, without impeding the effective index variation.
  • conventional thermo-optic heater designs are typically required to be positioned at least 3.0 microns from the waveguide structure (due to their larger thermal profile).
  • conventional thermo-optic heater designs generally include a relatively thick structure and are formed in the waveguide having bulk properties.
  • the metal contacts allow power to be applied to the salicide structure, which in turn generates heat for inducing the desired thermo-optic effect.
  • radiation traveling in the waveguide is phase shifted by the localized heat from the salicide heaters, and in accordance with the thermo-optic effect.
  • Numerous schemes can be used to apply the requisite power to obtain the desired waveguide phase response (e.g., ranging from simple heater power switching schemes to feedback with sensing schemes that use real-time adjustments to the applied heater power to get the desired phase response out of the waveguide). Additional details with respect to the salicide structures can be found in the previously incorporated U.S. Application No. xx/xxx,xxx (Attorney Docket 20070094).
  • contact pedestals there are four contact pedestals and corresponding contacts per pad portion.
  • the contact pedestals provide an increased thickness to the pad portion of the heater, so contact vias can be coupled thereto without damaging the pad portion.
  • Another embodiment may simply have, for example, one contact on each contact pad portion, and/or a thicker heater contact pad portion that does not require contact pedestals.
  • any number of contact configurations can be used, so long as long as power can be delivered to the heater element.
  • Example metals suitable for use in forming the contacts include gold, cobalt, tungsten, nickel, titanium, and platinum.
  • the contact metal may be the same as the metal used to make the salicide heater, but it need not be the same.
  • the heaters are made with cobalt and the contacts (and vias) are made with tungsten.
  • Figure 4 illustrates a method of making the waveguide structure shown in Figure 3, in accordance with an embodiment of the present invention.
  • This process can be used, for instance, to form a channel and/or ridge waveguide along with the salicide heater structures.
  • the present invention is not intended to be limited to thermo-optic applications, and may alternatively be used to make silicon waveguide structures in a number of applications.
  • the process flow includes steps 450 through 495, each of which will be discussed in turn, along with various corresponding features.
  • the process begins at 450 with an SOI wafer having a thick buried oxide (e.g., silicon-on-oxide, as shown in this example, or other suitable insulating material layer), or a grown film of amorphous-silicon, polysilicon or nan ⁇ siiicon.
  • a thick buried oxide e.g., silicon-on-oxide, as shown in this example, or other suitable insulating material layer
  • a grown film of amorphous-silicon, polysilicon or nan ⁇ siiicon is beneficial.
  • the previous discussion with respect to HDP-CVD equally applies here.
  • the underlying substrate can be, for example, silicon, although other suitable substrate materials can be used, such as gallium arsenide, indium phosphate, and quartz, sapphire, depending on the materials being deposited and giving consideration to factors such as thermal coefficients of expansion.
  • the silicon, oxide, and insulator layers are not labeled in every step in the example process flow shown, but remain constant through
  • the method continues at 455 with hardmask deposition, which one example case involves the deposition of an oxide/nitride hardmask, wherein a bottom layer of oxide is deposited followed by a top layer of nitride.
  • hardmask deposition involves the deposition of an oxide/nitride hardmask, wherein a bottom layer of oxide is deposited followed by a top layer of nitride.
  • Each of these two hardmask layers ranges, for example, from about 50 Angstroms to 1200 Angstroms.
  • the two-layer hardmask allows integration within a CMP based process.
  • a top nitride layer acts as the hardmask and polish stop layer for CMP.
  • the bottom oxide layer acts as a stop for a subsequent removal of the top nitride layer, thereby preserving circuit (e.g., waveguide and heater) qualities not only across the wafer, but from wafer to wafer.
  • circuit e.g., waveguide and heater
  • the process continues at 460 with patterning the hardmask.
  • the patterned hardmask features shown in the example embodiment of Figure 4 form a waveguide channel as well as contact pedestals (also shown in Figure 3) that are used to provide good electrical contact, by keeping all of the subsequent etches to the same depth.
  • Standard photoresist and etch techniques can be used to pattern the hardmask (e.g., the hardmask not covered by photoresist can be etched away). Note in this example embodiment, the photoresist forming the pattern is left on top of the hardmask (even after the hardmask is etched) for subsequent processing.
  • the contact vias can be etched to two different depths; however, vias at the shallowest etch level may get over etched, or the lower via holes may not get etched enough (if trying to preserve the shallower vias). Thus, consideration of such factors can be used in determining whether to employ the contact pedestals.
  • the method continues at 465 with the first part of a two-step etch process (not counting the initial etch used in patterning the hardmask).
  • the first etch etches partway down the silicon layer (of the SOI) with the patterned hardmask and the initial photoresist in place.
  • This partial etch of the silicon layer at 465 may be a continuation of the initial etch used to pattern the hardmask at 460, or may be a separate etch process depending on factors such as the hardmask material employed. In general, any etch process suitable to remove the targeted portions of exposed hardmask and silicon can be used.
  • the first etch allows the hardmask to be etched, and then the silicon, in the same tool, without exposing the wafer to air. This first etch is to a pre-determined depth, sufficient for optical transport or electrical contact.
  • the wafer can be removed from the tool and the initial photoresist used to pattern the hardmask is removed.
  • the hardmask itself is left on to preserve the waveguide (and other circuitry) shape and uniformity for the following second etch.
  • the method continues at 470 with patterning the lower features.
  • the patterned lower features form salicide heater structures (also shown in Figure 3) that are used to provide heat to the waveguide structure for thermo-optic effect.
  • the second etch of the two-step etch process can be carried out.
  • the second etch at 475 defines the shape, or forms of the heater structures.
  • a heating element is defined that is separated from the waveguide, yet physically close in terms of offset. This heating element feature (or slab) along with its corresponding contact pads at each end, are then salicided in a later step to form the heater structure.
  • the wafer can be prepped for subsequent processing, and in one example case, is put through an RCA clean.
  • the method then continues at 480. where a thick oxide overcoat or cladding is deposited, In one such embodiment, the oxide coat is provided using an HDP-CVD silicon dioxide method, which provides uniformity of the cladding index.
  • Step 480 further includes a CMP polishing process to planarize the deposited oxide surface. This planarization provides a planer surface suitable for the formation of items such as CMOS field effect transistors (FETs), and for the fabrication of the high performance metal interconnects.
  • the planarization/polish portion of step 480 stops on the nitride of the hardmask.
  • the nitride hardmask can then be removed, for example, with a wet etch (e.g., using phosphoric acid).
  • the method continues at 485 with deposition of the gate oxide (over the contacts and waveguide channel).
  • the method continues at 490 with exposing the heater, so the salicide process can be carried out.
  • Standard photoresist and etch techniques can be used to carry out this step (e.g., the oxide not covered by photoresist or hardmask can be etched away).
  • the salicide process is carried out.
  • this salicide process includes depositing a metal, heating the substrate to react the substrate material with the metal to form the salicide heater structure proximate the waveguide, and then etching away any excess or unreacted metal, thereby leaving the salicide heaters proximate the waveguide structure.
  • the temperature of the heating (annealing) process can be, for example, in the range of 600 0 C to 900 0 C.
  • the heating step is earned out in two different processing steps, with an etching step executed in between the two annealing steps and then after.
  • the first annealing process can be carried out at 600 0 C to 800 0 C (about 68O 0 C) using a rapid thermal anneal processing system for about one minute (e.g., 20 to 80 seconds), while the second annealing process can then be can be carried out at 800 0 C to 900 0 C (about 85O ⁇ C) using the rapid thermal anneal processing system for about one minute (e.g., 20 to 80 seconds).
  • Each of these annealing process steps can be carried out in an inert environment (e.g., nitrogen or argon) and/or in a vacuum to reduce contamination, oxidation, and other such undesirable results, depending on materials used and demands of application.
  • the substrate is subjected to a selective etch to remove any unwanted materials (including any unreacted cobalt).
  • the CoSi remains intact.
  • Etching can be earned out, for example, using a phosphoric acid / hydrogen peroxide bath at a temperature in the range of 2O 0 C to 120 0 C until desired degree of etch is achieved (e.g., about 5 minutes to 100 minutes, depending on how much material is to be removed).
  • the second annealing process then converts the CoSi to CoSi2 (complete salicide conversion).
  • a subsequent etch can then be performed to remove any remaining unwanted/unre acted material.
  • a typical CMOS FET fabrication saiicide process (used to form salicided gates) can be used, followed by additional silicon oxide deposition, or additional oxide deposition to clad the circuit (in this example case, the waveguide structure).
  • CMOS flow may be used up to last metal contact layer applied.

Abstract

Techniques are disclosed for efficiently fabricating semiconductors including waveguide structures. In particular, a two-step hardmask technology is provided that enables a stable etch base within semiconductor processing environments, such as the CMOS fabrication environment. The process is two-step in that there is deposition of a two-layer hardmask, followed by a first photolithographic pattern, followed by a first silicon etch, then a second photolithographic pattern, and then a second silicon etch. The process can be used, for example, to form a waveguide structure having both ridge and channel configurations, or a waveguide (ridge and/or channel) and a salicide heater structure, all achieved using the same hardmask. The second photolithographic pattern allows for the formation of the lower electrical contacts to the waveguides (or other structures) without a complicated rework of the hardmask.

Description

TWO-STEP HARDMASK FABRICATION METHODOLOGY FOR SILICON WAVEGUIDES
RELATED APPLICATIONS
[0001] This application is related to U.S. Application No. xx/xxx,xxx (Attorney Docket 20070094), filed August 29, 2008, and titled "Salicide Structures for Heat- Influenced Semiconductor Applications1' which is herein incorporated by reference in its entirety.
FIELD OF THE INVENTION
[0002] The invention relates to semiconductors, and more particularly, to a fabrication methodology for silicon waveguides.
BACKGROUND OF THE INVENTION
[0003] There are number of waveguide structures that can be used to realize an optical waveguide in silicon, such as ridge and channel waveguides. In such structures, light is typically guided in a high refractive index material (typically referred to as the waveguide core) that is surrounded by a lower index material (typically referred to as the waveguide cladding).
[0004] A channel waveguide is usually formed by depositing a high refractive index core material on a low refractive index bottom cladding material. Excess of the high refractive index material to either side of the channel is removed down to the underlying oxide using standard lithography processing (i.e., mask and etch). Once the channel is formed, a low refractive index upper cladding is deposited around the channel. The mismatch in refractive index between the core and the cladding effectively operates Lo contain radiation within the channel of the waveguide.
[0005] A ridge waveguide is a variation on the channel waveguide, wherein the high refractive index core material is only partially etched back to the underlying oxide, leaving a so-called slab to either side of the ridge. This lowers the in-plane refractive index contrast, which generally decreases scattering loss.
[0006] In some applications, both ridge and channel type waveguide structures are used. In such cases, the slab of the ridge waveguide is etched after the channel waveguides are fully formed. In particular, a small window in resist is opened to etch the small slab area, since other finished waveguide structures have to be protected from the etching process associated with forming the slab. This subsequent slab etch processing generally leads to large etching non-uniformities due to uneven plasma loading and increased sidewall roughness of waveguides. Moreover, the process typically does not yield repeatable results.
[0007] What is needed, therefore, are techniques that facilitate the fabrication of silicon-based circuitry including channel and ridge waveguide structures. In a more general sense, there is a need for more efficient techniques for fabricating semiconductors including waveguide structures.
SUMMARY OF THE INVENTION
[0008] One embodiment of the present invention provides a method of making a silicon waveguide structure. The method includes depositing a hardmask layer on a substrate having a silicon layer on an oxide layer, and then etching the hardmask layer to provide a patterned hardmask defining patterns for a waveguide and at least one other structure. The method continues with etching partway down the silicon layer with the patterned hardmask in place to form at least part of the waveguide and the at least one other structure. The method further includes patterning a slab of the at ieast one other structure (e.g., using photoresist or other suitable mask technology), and etching the remainder of the silicon layer down to the oxide layer with the patterned hardmask in place, thereby defining the slab of the at least one other structure and more of the waveguide. The at least one other structure can be or otherwise include, for example, a ridge waveguide or a salicide heater structure (or any other device that can be formed on the substrate). In one example embodiment, the hardmask layer includes a top layer that acts as a hardmask and polish stop for chemical-mechanical planarization (CMP), and a bottom layer that acts as an etch stop for subsequent removal of the top hardmask layer. In one such case, the top layer is a nitride layer and the bottom layer is an oxide layer. In another such embodiment, etching the hardmask layer to provide a patterned hardmask is performed with a pattern of photoresist in place, and etching partway down the silicon layer is carried out with both the patterned hardmask and photoresist in place. In one such case, prior to patterning the slab, the method further comprises removing the photoresist used to pattern the hardmask. In another embodiment, depositing the hardmask layer comprises depositing a bottom layer of silicon oxide, and then depositing a top layer of nitride. In one such case, the waveguide is a channel waveguide and the at least one other structure is a ridge waveguide, and the method includes depositing a cladding layer over the ridge and channel waveguides, and planεrizing the cladding layer using a CMP process, wherein the CMP process stops on or partially removes the top layer of nitride. The method may include removing the patterned hardmask using an etch process (e.g., removing the top hardmask layer using phosphoric acid, and removing the bottom hardmask layer with hydrofluoric acid; other suitable hardmask removal schemes can be used, depending on the hardniask composition). The method may include continuing with one or more CMOS based fabrication processes, In some embodiments, etching the hardmask layer to provide a patterned hardniask and etching partway down the silicon layer are carried out as a single etching process.
[0009] The features and advantages described herein are not all-inclusive and, in particular, many additional features and advantages will be apparent to one of ordinary skill in the art in view of the drawings, specification, and claims. Moreover, it should be noted that the language used in the specification has been principally selected for readability and instructional purposes, and not to limit the scope of the inventive subject matter.
BRIEF DESCRIPTION OF THE DRAWINGS
[0010] Figure 1 illustrates a method of making a silicon waveguide structure, in accordance with an embodiment of the present invention.
[0011] Figure 2a is an image showing a perspective view of a ridge waveguide fabricated in accordance with an embodiment of the present invention.
[0012] Figure 2b is an image showing a cross-section side view of a ridge waveguide fabricated in accordance with an embodiment of the present invention.
[0013] Figure 2c is an image showing a cross-section side view of a channel waveguide fabricated in accordance with an embodiment of the present invention.
[0014] Figure 3 is a perspective view of an optical waveguide circuit fabricated in accordance with an embodiment of the present invention.
[0015] Figure 4 illustrates a method of making the waveguide structure shown in Figure 3, in accordance with an embodiment of the present invention. DETAILED DESCRIPTION OF THE INVENTION
[0016] Techniques are disclosed for efficiently fabricating semiconductors including waveguide structures, In one particular example case, the techniques facilitate the fabrication of a silicon high refractive index contrast waveguide with both channel and ridge type configurations, ϊn another particular example case, the techniques facilitate the fabrication of a silicon high refractive index contrast waveguide with (e.g., channel or ridge configuration) and a salicide heater structure for provided phase control in accordance with thermo-optic effect.
General Overview
[0017] As previously explained, conventional fabrication techniques for a ridge waveguide generally involve the partial etching of a slab portion to form the ridge. In a waveguide including channel and ridge type configurations, this partial etch process for forming the ridge into the silicon is delayed until after all of the channel waveguides are completely formed, which generally leads to large etching non-uniformities and does not yield repeatabfe results. Such conventional fabrication techniques also generally lead to mis-aiignment issues where slab and channel waveguides are intended to couple into each other.
[0018] To address such issues, a two-step hardmask technology is provided herein that enables a stable etch base within semiconductor processing environments, such as the CMOS fabrication environment and other suitable fabrication environments. The process is two-step in that there is deposition of a two-layer hardmask, followed by a first photolithographic pattern, followed by a first silicon etch, then a second photolithographic pattern, and then a second silicon etch. The process can be used, for example, to form a waveguide structure having both ridge and channel configurations, all achieved using the same hardmask. The second photolithographic pattern allows for the formation of the lower electrical contacts to the waveguides without a complicated rework of the hardmask (i.e., the hardmask serves as the channel waveguide mask through multiple etches, preserving the sidewall of the waveguide).
[0019] In more detail and in accordance with one embodiment, the two-step process includes deposition of a two-layer hardmask of oxide and nitride over a silicon-on- insulator (SOI) or deposited guide, and then etching the active area and waveguide pattern into the hardmask. As is known, an '"active area" is a semiconductor term which defines the areas where electronic components (e.g., MOSFETs or other such gate-level components and modulators, salicide structures, etc) will be located. This standard layer is combined into the waveguide layer, and both layers are processed as one in an efficient manner that avoids etching non-uniformities associated with conventional techniques. This first etch is a partial etch and leaves a prescribed amount of silicon left on top of the bottom oxide, so that remaining siiicon can be used for the slab region of forthcoming modulators. The hardmask remains on top of the channel waveguide structure, and acts as an etch mask again during the waveguide ridge mask etch.
[0020] The waveguide ridge mask etch is effectively the second etch in the two-step hardmask waveguide process. In more detail, this second etch is used to define the edges of the ridge waveguide slabs (or other purposeful slab), and completes the partial etch (first etch step) of the thinned silicon down to the bottom oxide, thereby forming a finished channel waveguide. The exposed thinned slab areas are then ready for selective implants for the modulators prior to the oxide deposition of a shallow trench isolation (STl) fill and polish. STI is a standard CMOS process step, and is optional depending on the given application. [002IJ In addition to its ability to maintain a stable etch base, the two-layer hardmask may serve other purposes. For instance, in some embodiments the hardmask operates to control the penetration depth and configuration of ion implants used to foπn optical modulators and make contact to optical detectors. It also allows for efficient formation of complex structures including waveguides and other structures (such as lateral heaters in thermo-optic circuits). The hardmask also allows integration within a chemical mechanical polishing (CMP) based process. In more detail, and in accordance with one particular embodiment, a top silicon nitride layer acts as the hardmask and as a polish stop layer for CMP, thereby preserving waveguide qualities not only across the wafer, but from wafer to wafer. After CMP, the nitride layer can be removed, for example, using a phosphoric acid based etch that is selective to bottom hardmask layer of oxide. Removing the nitride allows for recovery of the original perfect waveguide, and continuation with CMOS based fabrication processes. The two-layer hardmask two-step etch technology also enables a number of electronic components (e.g., CMOS or other) having improved operation.
Manufacturing Methodology [0022] Figure 1 illustrates a method of making a silicon waveguide structure, in accordance with an embodiment of the present invention. This process can be used, for instance, to form a channel and/or ridge waveguide structures along with other circuit features, such as a salicide heater structure described in the previously incorporated U.S. Application No. xx/xxx,xxx (Attorney Docket 20070094). Note, however, that the present invention is not intended to be limited to thermo-optic applications, and may alternatively be used with other semiconductor devices and/or processes. As can be seen, the process flow includes steps 103 through 115, each of which will be discussed in turn, along with various corresponding features. This example flow only shows processing up to the second waveguide etch. Additional example processing thereafter will be discussed with reference to Figure 4.
[0023] In this example embodiment, the process begins at 103 with an SOΪ wafer having silicon on a thick buried oxide or other suitable insulator material layer, or a grown film of amorphous-silicon, poiysilicon or nanosilicon. In general, growing on the flattest possible surface, with the highest quality underlying oxide, is beneficial. In some embodiments, a high density plasma, chemical vapor deposited (HDP-CVD) silicon dioxide is employed for this purpose. The HDP-CVD approach provides a high degree of control over the uniformity of the oxide cladding. The underlying substrate below the insulator and silicon layers can be, for example, silicon, although other suitable substrate materials can be used, such as gallium arsenide, indium phosphate, and quartz, sapphire, depending on the materials being deposited and giving consideration to factors such as thermal coefficients of expansion. Note that the silicon, insulator, and substrate layers are not labeled in every step in the example process flow shown, but remain constant through the entire process flow unless otherwise indicated (e.g., the silicon layer is etched or otherwise removed at times).
[0024] The method continues at 105 with bardmask deposition, which in this example case involves the deposition of an oxide/nitride hardmask, wherein a bottom layer of oxide is deposited foilowed by a top layer of nitride. Each of these two hardmask layers ranges, for example, from about 50 Angstroms to 1200 Angstroms. The two-layer hardmask allows integration within a CMP based process. In more detail, and in accordance with one particular embodiment, a top nitride layer acts as the hardmask and polish stop layer for CMP. The bottom oxide layer acts as a stop for a subsequent removal of the top nitride layer, thereby preserving circuit (e.g., waveguide) qualities not only across the wafer, but from wafer to wafer. [0025] It is desirable to control the thickness of the slab part of the ridge waveguide to facilitate electrical contact to various electro-optical devices fabricated in the ridge waveguide. To accommodate this desire, two etches are used (i.e., two-step etch process); however, it is not desirable to have to re-pattern the waveguides, as this will allow various photolithographic errors to create variations in the waveguide structures that can introduce high loss. The method addresses this issue, and continues at 107 with etching (or patterning) the hardmask, so as to provide one or more waveguide patterns. The patterned hardmask features shown in the example embodiment of Figure 1 form a waveguide ridge and waveguide channel. Standard photoresist and etch techniques can be used to pattern the hardmask (e.g., the hardmask not covered by photoresist can be etched away). Note in this example embodiment, that the photoresist forming the pattern is left on top of the hardmask (even after the hardmask is etched) for subsequent processing.
[0026] The method continues at 109 with the first part of a two-step etch process (not counting the initial etch used in patterning the hardmask at 107). In more detail, the first etch etches partway down the silicon layer (of the SOI) with the patterned hardmask and the initial photoresist in place. The photoresist is left in place as it is undesirable to remove the wafer from vacuum once the etch has begun, as such removal can lead to undesired final etch profiles or micro-mask defects. In addition, removal of the photoresist can further alter the surface. Thus, etching at 107 and 109 can be combined into the initial etch process, so as to first etch the patterned hardmask and then the unmasked silicon, in the same tool, without exposing the wafer to air. Alternatively, etching at 107 and 109 can be separate etch processes depending on factors such as the hardmask material employed. In general, etching at 107 and 109 can be implemented with any etch process suitable to remove the targeted portions of exposed hardmask and unmasked silicon. This first etch (up to 109) is to a predetermined depth, sufficient for optical transport or electrical contact.
[0027] After the first etch, the wafer can be removed from the tool and the initial photoresist used to pattern the hardmask is removed. The hardmask itself, however, is left on to preserve the waveguide (or other circuitry) shape and uniformity for the following second etch. The method may continue with patterning any lower features, if so desired, and as discussed in more detail with reference to Figure 4. Note that hardmask of oxide/nitride remains in place on the channel waveguide.
[0Θ28] Once lower features are patterned (if any), the second etch of the two-step etch process can be carried out. ϊn the example flow shown in Figure 1, the second etch defines the shape, or form of the ridge waveguide slab. In more detail, and with reference to the specific embodiment shown in Figure I, the second etch does not have the same high tolerances as the first etch; thus, a photoresist process can be used. In this process, the slab shape are defined with photoresist as shown at 111, and the thin silicon slab is then etched at 113. Standard photoresist and etch techniques can be used to pattern the slab of the ridge waveguide (e.g., the silicon not covered by photoresist or hardmask can be etched away, thereby forming the slab). Once the second etch is finished and the slab of the ridge waveguide is formed, the resist can be stripped or otherwise removed, as shown at 115. At this point, the channel and ridge waveguide structures can be seen, including the newly formed slab. Further note that each of the channel and ridge waveguides are covered with the hardmask, should any subsequent processing be desired (as shown in Figure 4). Subsequent processing may include, for example, deposition of a thick oxide cladding (over the waveguide structures) using an HDP-CVD method to provide uniformity of the cladding index, and then planerizing the oxide surface via a CMP process. In the fabrication of CMOS FETS, this planarizing process is commonly used after shallow trench isolation fill. The polish stops on the nitride. The nitride part of the hardmask can then be removed with a wet etch (e.g., using phosphoric acid or other suitable etchant, or other suitable removal process). From this point, standard semiconductor fabrication (e.g., CMOS) flow can be used to provide features such as additional oxide layers, via structures, and corresponding metal contacts.
[0029] The hardmask etch approach as described herein provides a number of benefits. For instance, it allows the waveguide integrity (and other circuit integrity) to be controlled and maintained across multiple etches (i.e., the hardmask acts as a constant pattern for multiple etch sέeps). In addition, the hardmask process effectively controls the lateral profile of the circuit by controlling the way the effluent etch products develop, and also provides a means of using CMP technologies to pianarize the wafer without modifying the shape of the waveguide (or other circuitry). The evolution of the etch by-product gasses is controlled by moving organic resists in the second silicon etch farther away from the channel waveguides, allowing control of the development of various gas chemistries involving oxygen and hydrogen that evolve as the photoresist is consumed. The nitride is resistant to the CMP action, and can be selectively removed, with respect to oxide, using a wet etch (e.g., phosphoric acid based etch that is selective to the oxide). A CMP process can be used, for example, to planerize cladding that is deposited after step 115, which allows for integration of the resulting waveguide structure into a standard CMOS process or the development of 3D waveguiding structures. One such process will be discussed with reference to Figure 4. Removing the nitride layer of the two-layer hardmask allows for recovery of the formed waveguide structure, and continuation with CMOS based fabrication processes. [0030] Figure 2a is an image showing a perspective view of a ridge waveguide fabricated in accordance with an embodiment of the present invention. The image was created with a scanning electron microscope (SEM) to captures details at the submicron level. The curved ridge and slab portions of the ridge waveguide structure can be seen. This image was taken after the second silicon etch was performed to form the slab and ridge portions of the ridge waveguide. Note that the hardmask is still in place on top of the ridge. Figure 2b is a SEM image showing a cross-section side view of a ridge waveguide fabricated in accordance with an embodiment of the present invention. This image was taken after the second silicon etch was performed to form the slab and ridge portions of the ridge waveguide. Note the hardmask is still in place on top of the ridge. Also clearly shown in Figure 2b are the nitride and oxide layers making up the hardmask. The bottom oxide is the insulator of the SOI wafer. Figure 2c is a SEM image showing a cross-section side view of a channel waveguide fabricated in accordance with an embodiment of the present invention. This image was taken after the second silicon etch was performed to form the slab and ridge portions of the ridge waveguide. Because there was no photoresist patterned proximate to the channel waveguide, the silicon was removed down to the underlying oxide layer, thereby further defining the channel. Note the hardmask is still in place on top of the channel, and that the nitride and oxide layers making up the hardmask are also clearly shown.
Therrno-Optic Circuit [0031] Figure 3 is a perspective view of an optical waveguide circuit fabricated in accordance with an embodiment of the present invention. As can be seen, the thermo- optic circuit includes a silicon channel waveguide and lateral salicide heaters disposed on each side of the silicon channel waveguide. Each salicide heater includes an elongated narrow portion that runs along side the channel waveguide, as well as wider pad portions upon which are formed a number of contact pedestals and the corresponding metal contacts. Vias can be used to couple the contact metal to the salicide heater element. This optical waveguide circuit can be efficiently fabricated using the techniques described herein at Figures 1 and 4.
[0032] As is known, a silicon channel waveguide is a three dimensional silicon waveguide formed and buried in a dielectric media on a silicon substrate. The waveguide has a core that is surrounded by a cladding, where the cladding material typically has a refractive index much lower than that of the core material. This arrangement, sometimes referred to as a silicon high index contrast (Si-HIC) waveguide, operates to confine light in a target wavelength range within the waveguide structure, thereby allowing the light to propagate transversely along the core (or channel). The peak optical intensity resides in the core. A channel waveguide is particularly well- suited for allowing higher selective confinement of a TE or TM modes.
[0033] As is further known, a channel waveguide can be fabricated using a conventional step-by-step semiconductor processing, including deposition of lower clad layer, deposition of core layer, core etch, deposition of upper clad layer, deposition of metal layer, and metal etch. In more detail, a lower clad layer is deposited on the silicon substrate, followed by deposition of a core layer (ncjacj is lower than ncore, where n is the index of refraction). A channel waveguide pattern is then applied to the core layer using standard photolithography techniques and the channel is formed (e.g., using ultraviolet masking and reactive ion etching). During the formation process, material around the channel waveguide structure is removed thereby effectively leaving walls (so-cailed ribs) extending from the substrate. The structure is then covered by an upper clad layer, typically having the same index of refraction as the lower clad layer. [0034] The term salicide is short for self-aligned suicide, and refers to a semiconductor process for forming electrical contacts between active regions (e.g., source, drain, gale of transistor) a semiconductor device and its interconnect structure (e.g., semiconductor device pins or pads), typically using MOS and CMOS technologies. In general, a conventional salicide process for forming the contacts of such devices involves the heat-induced reaction in the active regions of the semiconductor device of a thin metal film that is in direct contact with an underlying silicon substrate of the device. In more detail, a typical salicide process begins with deposition of a thin transition metal layer over a fully formed and patterned semiconductor device on a substrate. Example transition metals suitable for use in salicide processes include cobalt, tungsten, nickel, titanium, and platinum. The substrate upon which the semiconductor device is formed and the thin metal film is deposited is then heated, causing the thin film of transition metal to react with the underlying silicon in the active regions of the device (e.g., source, drain, gate of transistor), thereby forming a low-resistance transition metal suicide. The temperature can vary, but is generally set to ensure proper annealing without being so high as to damage the formed semiconductor device. Following this annealing process, any excess transition metal can be removed (e.g., conventional etching process), thereby leaving salicide contacts in the active regions of the device. The resulting contacts are essentially a compound of the metal and substrate (e.g., CoSi2, TiSi2, etc).
[0035] In accordance with some embodiments of the present invention, a salicide process is used to form salicide heating elements. The salicide heaters allow for greater control over resistivity and uniformity, such that the heaters can operate at CMOS voltage levels (e.g., 0-3.3 volts). In addition, the salicide heaters allow larger temperature fluctuations. As can be seen in this example embodiment, the salicide heaters do not require shared physical modifications to the waveguide. Nor do the salicide heaters employ any ion implantation. Rather, the heaters are free-standing salicide structures, which can be formed using a combination of CMOS and photonic processing steps. In this sense, the heater structure is distinct from the waveguide structure (i.e., the heater is not embedded in the cladding or core materials making up the waveguide, or otherwise in physical contact with the waveguide). Such freestanding saiicide heaters allow the index of refraction variation to be preserved (i.e., uniformity of the refractive index for the cladding all the way around the waveguide).
[0036] In accordance with one particular embodiment, the salicide heaters are formed using cobalt as the transitional thin film metal, thereby resulting in cobalt salicide (CoSi2) structures that are the result of a combination of CMOS and photonic processing steps. The salicide heaters allow efficient coupling of thermal energy by allowing closer placement of the heater to waveguide, thereby keeping the waveguide below the modal field. This also enables lower power operation and higher speed operation, as the salicide heater does not require as great a thermal load to dissipate into the bulk dielectric. The heater thickness can vary as needed, depending on factors such as power and duration and/or frequency of heating cycles, but in one particular embodiment ranges from about 80 Angstroms to 1000 Angstroms. Note, however, with the techniques described herein, any suitable thicknesses can be used up to the full thickness of the waveguide. The elongated portions of the salicide heaters running proximate the waveguide can be, for instance, within 0.5 microns (or farther) of the waveguide channel, without impeding the effective index variation. In contrast, note that conventional thermo-optic heater designs are typically required to be positioned at least 3.0 microns from the waveguide structure (due to their larger thermal profile). In addition, conventional thermo-optic heater designs generally include a relatively thick structure and are formed in the waveguide having bulk properties.
[GΘ37] The metal contacts allow power to be applied to the salicide structure, which in turn generates heat for inducing the desired thermo-optic effect, In the application depicted in Figure 3, radiation traveling in the waveguide is phase shifted by the localized heat from the salicide heaters, and in accordance with the thermo-optic effect. Numerous schemes can be used to apply the requisite power to obtain the desired waveguide phase response (e.g., ranging from simple heater power switching schemes to feedback with sensing schemes that use real-time adjustments to the applied heater power to get the desired phase response out of the waveguide). Additional details with respect to the salicide structures can be found in the previously incorporated U.S. Application No. xx/xxx,xxx (Attorney Docket 20070094).
[0038] In the particular example of Figure 3, there are four contact pedestals and corresponding contacts per pad portion. The contact pedestals provide an increased thickness to the pad portion of the heater, so contact vias can be coupled thereto without damaging the pad portion. Another embodiment may simply have, for example, one contact on each contact pad portion, and/or a thicker heater contact pad portion that does not require contact pedestals. In short, any number of contact configurations can be used, so long as long as power can be delivered to the heater element. Example metals suitable for use in forming the contacts include gold, cobalt, tungsten, nickel, titanium, and platinum. The contact metal may be the same as the metal used to make the salicide heater, but it need not be the same. In one particular embodiment, the heaters are made with cobalt and the contacts (and vias) are made with tungsten.
Manufacturing Methodology with Salicide Structure [0039] Figure 4 illustrates a method of making the waveguide structure shown in Figure 3, in accordance with an embodiment of the present invention. This process can be used, for instance, to form a channel and/or ridge waveguide along with the salicide heater structures. Recall, however, the present invention is not intended to be limited to thermo-optic applications, and may alternatively be used to make silicon waveguide structures in a number of applications. As can be seen, the process flow includes steps 450 through 495, each of which will be discussed in turn, along with various corresponding features.
[0040] In this example embodiment, the process begins at 450 with an SOI wafer having a thick buried oxide (e.g., silicon-on-oxide, as shown in this example, or other suitable insulating material layer), or a grown film of amorphous-silicon, polysilicon or nanαsiiicon. As previously explained, growing on the flattest possible surface, with the highest quality underlying oxide, is beneficial. The previous discussion with respect to HDP-CVD equally applies here. The underlying substrate can be, for example, silicon, although other suitable substrate materials can be used, such as gallium arsenide, indium phosphate, and quartz, sapphire, depending on the materials being deposited and giving consideration to factors such as thermal coefficients of expansion. Note that the silicon, oxide, and insulator layers are not labeled in every step in the example process flow shown, but remain constant through the entire process flow unless otherwise indicated (e.g., the silicon layer is etched or otherwise removed at times).
[0041] The method continues at 455 with hardmask deposition, which one example case involves the deposition of an oxide/nitride hardmask, wherein a bottom layer of oxide is deposited followed by a top layer of nitride. Each of these two hardmask layers ranges, for example, from about 50 Angstroms to 1200 Angstroms, The two-layer hardmask allows integration within a CMP based process. As previously explained, and in accordance with one particular embodiment, a top nitride layer acts as the hardmask and polish stop layer for CMP. The bottom oxide layer acts as a stop for a subsequent removal of the top nitride layer, thereby preserving circuit (e.g., waveguide and heater) qualities not only across the wafer, but from wafer to wafer. The previously discussed benefits provided by the hardmask etch approach as described herein are equally applicable here.
[0042] The process continues at 460 with patterning the hardmask. The patterned hardmask features shown in the example embodiment of Figure 4 form a waveguide channel as well as contact pedestals (also shown in Figure 3) that are used to provide good electrical contact, by keeping all of the subsequent etches to the same depth. Standard photoresist and etch techniques can be used to pattern the hardmask (e.g., the hardmask not covered by photoresist can be etched away). Note in this example embodiment, the photoresist forming the pattern is left on top of the hardmask (even after the hardmask is etched) for subsequent processing. Further note that, in some cases, if the pedestals are not used, the contact vias can be etched to two different depths; however, vias at the shallowest etch level may get over etched, or the lower via holes may not get etched enough (if trying to preserve the shallower vias). Thus, consideration of such factors can be used in determining whether to employ the contact pedestals.
[0043] The method continues at 465 with the first part of a two-step etch process (not counting the initial etch used in patterning the hardmask). Tn more detail, the first etch etches partway down the silicon layer (of the SOI) with the patterned hardmask and the initial photoresist in place. This partial etch of the silicon layer at 465 may be a continuation of the initial etch used to pattern the hardmask at 460, or may be a separate etch process depending on factors such as the hardmask material employed. In general, any etch process suitable to remove the targeted portions of exposed hardmask and silicon can be used. In one specific embodiment, the first etch allows the hardmask to be etched, and then the silicon, in the same tool, without exposing the wafer to air. This first etch is to a pre-determined depth, sufficient for optical transport or electrical contact.
[0044] After the first etch, the wafer can be removed from the tool and the initial photoresist used to pattern the hardmask is removed. The hardmask itself, however, is left on to preserve the waveguide (and other circuitry) shape and uniformity for the following second etch. The method continues at 470 with patterning the lower features. In this example embodiment shown in Figure 4, the patterned lower features form salicide heater structures (also shown in Figure 3) that are used to provide heat to the waveguide structure for thermo-optic effect. There are two heater structures included in this example embodiment, each having an elongated heating element portion with two heater contact pads at each end. Standard photoresist and photolithographic techniques can be used to pattern the lower features heaters. Note that hardmask of oxide/nitride remains in place on the channel and contact pedestals.
[0045] Once the lower features are patterned, the second etch of the two-step etch process can be carried out. In the example, the second etch at 475 defines the shape, or forms of the heater structures. In more detail, and with reference to 475 of the example case of the salicide heaters shown in Figure 4, a heating element is defined that is separated from the waveguide, yet physically close in terms of offset. This heating element feature (or slab) along with its corresponding contact pads at each end, are then salicided in a later step to form the heater structure.
[0046] After the second waveguide etch, the wafer can be prepped for subsequent processing, and in one example case, is put through an RCA clean. The method then continues at 480. where a thick oxide overcoat or cladding is deposited, In one such embodiment, the oxide coat is provided using an HDP-CVD silicon dioxide method, which provides uniformity of the cladding index. Step 480 further includes a CMP polishing process to planarize the deposited oxide surface. This planarization provides a planer surface suitable for the formation of items such as CMOS field effect transistors (FETs), and for the fabrication of the high performance metal interconnects. The planarization/polish portion of step 480 stops on the nitride of the hardmask. The nitride hardmask can then be removed, for example, with a wet etch (e.g., using phosphoric acid). The method continues at 485 with deposition of the gate oxide (over the contacts and waveguide channel).
[0047] The method continues at 490 with exposing the heater, so the salicide process can be carried out. Standard photoresist and etch techniques can be used to carry out this step (e.g., the oxide not covered by photoresist or hardmask can be etched away). At 495, the salicide process is carried out. In general, this salicide process includes depositing a metal, heating the substrate to react the substrate material with the metal to form the salicide heater structure proximate the waveguide, and then etching away any excess or unreacted metal, thereby leaving the salicide heaters proximate the waveguide structure. The temperature of the heating (annealing) process can be, for example, in the range of 6000C to 9000C. In one specific example embodiment, the heating step is earned out in two different processing steps, with an etching step executed in between the two annealing steps and then after. In more detail, and assuming the heater metal is cobalt, the first annealing process can be carried out at 6000C to 8000C (about 68O0C) using a rapid thermal anneal processing system for about one minute (e.g., 20 to 80 seconds), while the second annealing process can then be can be carried out at 8000C to 9000C (about 85OσC) using the rapid thermal anneal processing system for about one minute (e.g., 20 to 80 seconds). Each of these annealing process steps can be carried out in an inert environment (e.g., nitrogen or argon) and/or in a vacuum to reduce contamination, oxidation, and other such undesirable results, depending on materials used and demands of application. After the first annealing process forms CoSi (initial salicide conversion), the substrate is subjected to a selective etch to remove any unwanted materials (including any unreacted cobalt). The CoSi remains intact. Etching can be earned out, for example, using a phosphoric acid / hydrogen peroxide bath at a temperature in the range of 2O0C to 1200C until desired degree of etch is achieved (e.g., about 5 minutes to 100 minutes, depending on how much material is to be removed). The second annealing process then converts the CoSi to CoSi2 (complete salicide conversion). A subsequent etch can then be performed to remove any remaining unwanted/unre acted material. In a more general sense, a typical CMOS FET fabrication saiicide process (used to form salicided gates) can be used, followed by additional silicon oxide deposition, or additional oxide deposition to clad the circuit (in this example case, the waveguide structure). Once the saϋcide heaters are formed, standard CMOS flow may be used up to last metal contact layer applied.
[0048] The foregoing description of the embodiments of the invention has been presented for the purposes of illustration and description. It is not intended to be exhaustive or to limit the invention to the precise form disclosed. Many modifications and variations are possible in light of this disclosure. It is intended that the scope of the invention be limited not by this detailed description, but rather by the claims appended hereto.

Claims

What is claimed is; L A method of making a silicon waveguide structure, the method comprising: depositing a hardmask layer on a substrate having a silicon layer on an oxide layer; etching the hardmask layer to provide a patterned hardmask defining patterns for a waveguide and at least one other structure; etching partway down the silicon layer with the patterned hardmask in place to form at least part of the waveguide and the at least one other structure; patterning a slab of the at least one other structure; and etching the remainder of the siϊicon layer down to the oxide layer with the patterned hardmask in place, thereby defining the slab of the at least one other structure and more of the waveguide.
2. The method of claim 1 wherein the hardmask layer includes a top layer that acts as a hardmask and a polish stop for chemical-mechanical penalization (CMP), and a bottom layer that acts as an etch stop for subsequent removal of the top hardmask layer.
3. The method of claim 2 wherein the top layer is a nitride layer and the bottom layer is an oxide layer.
4, The method of claim I wherein etching the hardmask layer to provide a patterned hardmask is performed with a pattern of photoresist in place, and etching partway down the silicon layer is carried out with both the patterned hardmask and photoresist in place.
5. The method of claim 4 wherein prior to patterning the slab, the method further comprises removing the photoresist used to pattern the. hardmask.
6. The method of claim 1 wherein depositing the hardmask layer comprises depositing a bottom layer of silicon oxide, and then depositing a top layer of nitride.
7. The method of claim 6 wherein the waveguide is a channel waveguide and the at least one other structure is a ridge waveguide, the method further comprising: depositing a cladding layer over the ridge and channel waveguides; and planerizing the cladding layer using a CMP process, wherein the CMP process stops on or partially removes the top layer of nitride.
8. The method of claim 7 further comprising: removing the patterned hardmask using an etch process. continuing with one or more CMOS based fabrication processes.
9. The method of claim 1 wherein the at least one other structure includes a salicide heater structure.
10. The method of claim 1 wherein etching the hardmask layer to provide a patterned hardmask and etching partway down the silicon layer are carried out as a single etching process.
11. A method of making a silicon waveguide structure, the method comprising: depositing a hardmask layer on a substrate having a silicon layer on an oxide layer, wherein the hardmask layer includes a top layer that acts as a hardmask and a polish stop for chemical-mechanical penalization (CMP), and a bottom layer that acts as an etch stop for subsequent removal of the top hardmask layer; etching the hardmask layer with a pattern of photoresist in place to provide a patterned hardmask defining waveguide patterns for a channel waveguide and a ridge waveguide; etching partway down the silicon layer with the patterned hardmask and photoresist in place to form at least part of the channel waveguide and the ridge waveguide; patterning a slab of the ridge waveguide using photoresist; and etching the remainder of the silicon layer down to the oxide layer with the patterned hardmask in place, thereby defining the slab of the ridge waveguide and more of the channel waveguide.
12. The method of claim 1 1 wherein the top layer is a nitride layer and the bottom layer is an oxide layer.
13. The method of claim 11 wherein prior to patterning the slab, the method further comprises removing the photoresist used to pattern the hardmask.
14. The method of claim 11 wherein depositing the hardmask layer comprises depositing a bottom layer of silicon oxide, and then depositing a top layer of nitride.
15. The method of claim 14 further comprising: depositing a cladding layer over the ridge and channel waveguides; and planerizing the cladding layer using a CMP process, wherein the CMP process stops on or partially removes the top layer of nitride.
16. The method of claim 15 further comprising: removing the patterned hardmask using an etch process; and continuing with one or more CMOS based fabrication processes.
17. The method of claim 11 wherein etching the hardmask layer to provide a patterned hardmask and etching partway down the silicon layer are carried out as a single etching process.
18. A method of making a silicon waveguide structure, the method comprising: depositing a hardmask layer on a substrate having a silicon layer on an oxide layer, wherein the hardmask layer includes a top nitride layer and a bottom oxide layer; etching the hardmask layer to provide a patterned hardmask defining waveguide patterns for a channel waveguide and a ridge waveguide; 8 etching partway down the silicon layer with the patterned hardmask in place to
9 form at least part of the channel waveguide and the ridge waveguide; ϊ o patterning a slab of the ridge waveguide;
11 etching the remainder of the silicon layer down to the oxide layer with the
12 patterned hardmask in place, thereby defining the slab of the ridge
13 waveguide and more of the channel waveguide;
14 depositing a cladding layer over the ridge and channel waveguides; and
15 planerizing the cladding layer using a CMP process, wherein the CMP process
16 stops on or partially removes the top nitride layer.
1 19. The method of claim 18 wherein etching the hardmask layer to provide a
2 patterned hardmask is performed with a pattern of photoresist in place, and etching
3 partway down the silicon layer is carried out with both the patterned hardmask and
4 photoresist in place, and prior to patterning the slab, the method further comprises
5 removing the photoresist used to pattern the hardmask.
1 20. The method of claim 18 farther comprising;
2 removing the patterned hardmask using an etch process; and
3 continuing with one or more CMOS based fabrication processes.
PCT/US2009/055213 2008-08-29 2009-08-27 Two-step hardmask fabrication methodology for silicon waveguides WO2010025264A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
EP09810591A EP2318865A1 (en) 2008-08-29 2009-08-27 Two-step hardmask fabrication methodology for silicon waveguides

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/201,807 2008-08-29
US12/201,807 US8148265B2 (en) 2008-08-29 2008-08-29 Two-step hardmask fabrication methodology for silicon waveguides

Publications (1)

Publication Number Publication Date
WO2010025264A1 true WO2010025264A1 (en) 2010-03-04

Family

ID=41721926

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2009/055213 WO2010025264A1 (en) 2008-08-29 2009-08-27 Two-step hardmask fabrication methodology for silicon waveguides

Country Status (3)

Country Link
US (1) US8148265B2 (en)
EP (1) EP2318865A1 (en)
WO (1) WO2010025264A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2486011A (en) * 2010-12-01 2012-06-06 Oclaro Technology Ltd Optical waveguide with etched ridge structure

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102183817B (en) * 2010-04-29 2013-01-30 上海圭光科技有限公司 Method for preparing multi-layer ridge optical waveguide
WO2012083864A1 (en) 2010-12-22 2012-06-28 Bing Li Optical waveguide switch
CN102569513B (en) 2010-12-22 2016-02-17 李冰 A kind of Waveguide optical detector and preparation method thereof
US9256028B2 (en) 2011-01-14 2016-02-09 Bing Li Dispersion-corrected arrayed waveguide grating
US8995800B2 (en) 2012-07-06 2015-03-31 Teledyne Scientific & Imaging, Llc Method of fabricating silicon waveguides with embedded active circuitry
US9684191B2 (en) * 2015-11-05 2017-06-20 International Business Machines Corporation Efficient thermo-optic phase shifters using multi-pass heaters
US9671557B1 (en) * 2016-03-04 2017-06-06 Inphi Corporation Vertical integration of hybrid waveguide with controlled interlayer thickness

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6451712B1 (en) * 2000-12-18 2002-09-17 International Business Machines Corporation Method for forming a porous dielectric material layer in a semiconductor device and device formed
US6709976B1 (en) * 2002-07-29 2004-03-23 The United States Of America As Represented By The Secretary Of The Navy Method for improving reliability in trench structures
US7016587B2 (en) * 2004-01-20 2006-03-21 Xerox Corporation Low loss silicon waveguide and method of fabrication thereof
US20070116398A1 (en) * 2005-11-22 2007-05-24 Dong Pan HIGH SPEED AND LOW LOSS GeSi/Si ELECTRO-ABSORPTION LIGHT MODULATOR AND METHOD OF FABRICATION USING SELECTIVE GROWTH
US20070292075A1 (en) * 2006-06-15 2007-12-20 Sioptical, Inc. Silicon modulator offset tuning arrangement

Family Cites Families (67)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4420258A (en) 1981-10-23 1983-12-13 The United States Of America As Represented By The Secretary Of The Navy Dual input gyroscope
JPS58215503A (en) 1982-06-09 1983-12-15 Sumitomo Electric Ind Ltd Processing method of optical fiber gyroscope
US4748617A (en) 1985-12-20 1988-05-31 Network Systems Corporation Very high-speed digital data bus
US4921354A (en) 1987-03-23 1990-05-01 Rockwell International Corporation Identical servo frequency modulated passive ring laser gyroscope
JP3031426B2 (en) 1990-04-16 2000-04-10 日本電信電話株式会社 Waveguide type optical branching device
US5371591A (en) 1990-08-13 1994-12-06 Litton Systems, Inc. Triaxial split-gain ring laser gyroscope
US5430755A (en) 1991-05-24 1995-07-04 Northrop Grumman Corporation Pressure-equalized self-compensating discharge configuration for triangular ring laser gyroscopes
US5625636A (en) 1991-10-11 1997-04-29 Bryan; Robert P. Integration of photoactive and electroactive components with vertical cavity surface emitting lasers
US6850252B1 (en) 1999-10-05 2005-02-01 Steven M. Hoffberg Intelligent electronic appliance system and method
US6400996B1 (en) 1999-02-01 2002-06-04 Steven M. Hoffberg Adaptive pattern recognition based control system and method
US7006881B1 (en) 1991-12-23 2006-02-28 Steven Hoffberg Media recording device with remote graphic user interface
US5266794A (en) 1992-01-21 1993-11-30 Bandgap Technology Corporation Vertical-cavity surface emitting laser optical interconnect technology
TW209308B (en) 1992-03-02 1993-07-11 Digital Equipment Corp Self-aligned cobalt silicide on MOS integrated circuits
EP0816879A1 (en) 1992-07-08 1998-01-07 Matsushita Electric Industrial Co., Ltd. Optical waveguide device and manufacturing method of the same
US5281805A (en) 1992-11-18 1994-01-25 Nippon Sheet Glass Co., Ltd. Optical-input latch-circuit cell array
US5535231A (en) 1994-11-08 1996-07-09 Samsung Electronics Co., Ltd. Optoelectronic circuit including heterojunction bipolar transistor laser and photodetector
US5834800A (en) 1995-04-10 1998-11-10 Lucent Technologies Inc. Heterojunction bipolar transistor having mono crystalline SiGe intrinsic base and polycrystalline SiGe and Si extrinsic base regions
US5703989A (en) 1995-12-29 1997-12-30 Lucent Technologies Inc. Single-mode waveguide structure for optoelectronic integrated circuits and method of making same
US5828476A (en) 1996-06-11 1998-10-27 The Boeing Company Dual rate, burst mode, radiation hardened, optical transceiver
US6936839B2 (en) 1996-10-16 2005-08-30 The University Of Connecticut Monolithic integrated circuit including a waveguide and quantum well inversion channel devices and a method of fabricating same
US6306722B1 (en) 1999-05-03 2001-10-23 United Microelectronics Corp. Method for fabricating shallow trench isolation structure
US6117771A (en) 1998-02-27 2000-09-12 International Business Machines Corporation Method for depositing cobalt
US6795622B2 (en) 1998-06-24 2004-09-21 The Trustess Of Princeton University Photonic integrated circuits
US6785447B2 (en) 1998-10-09 2004-08-31 Fujitsu Limited Single and multilayer waveguides and fabrication process
GB2343293B (en) * 1998-10-23 2003-05-14 Bookham Technology Ltd Manufacture of a silicon waveguide structure
US6331445B1 (en) 1999-05-07 2001-12-18 National Research Council Of Canada Phototonic device with strain-induced three dimensional growth morphology
US6442311B1 (en) 1999-07-09 2002-08-27 Agere Systems Guardian Corp. Optical device having modified transmission characteristics by localized thermal treatment
US6242324B1 (en) 1999-08-10 2001-06-05 The United States Of America As Represented By The Secretary Of The Navy Method for fabricating singe crystal materials over CMOS devices
JP3434750B2 (en) 1999-09-30 2003-08-11 Necエレクトロニクス株式会社 Cleaning device line configuration and its design method
ATE394692T1 (en) 1999-10-13 2008-05-15 Altera Corp CLUSTER INTEGRATION APPROACH FOR OPTICAL TRANSMITTER/RECEIVER ARRAYS AND FIBER BUNDLES
DE19950915B4 (en) 1999-10-21 2004-06-17 Forschungszentrum Jülich GmbH Method for determining a location at which a detection signal was most likely to have occurred and evaluation unit for a detector system
US6387720B1 (en) 1999-12-14 2002-05-14 Phillips Electronics North America Corporation Waveguide structures integrated with standard CMOS circuitry and methods for making the same
US6477285B1 (en) 2000-06-30 2002-11-05 Motorola, Inc. Integrated circuits with optical signal propagation
DE60124766T2 (en) 2000-08-04 2007-10-11 Amberwave Systems Corp. SILICON WAIST WITH MONOLITHIC OPTOELECTRONIC COMPONENTS
WO2002016986A1 (en) 2000-08-18 2002-02-28 Cquint Communications Corporation Fiber-optic waveguides for transverse optical coupling
US20020039830A1 (en) 2000-09-18 2002-04-04 Wen-Kuan Yeh Salicidation process for a fully depleted silicon-on-insulator device
US20030044118A1 (en) 2000-10-20 2003-03-06 Phosistor Technologies, Inc. Integrated planar composite coupling structures for bi-directional light beam transformation between a small mode size waveguide and a large mode size waveguide
KR100417894B1 (en) 2001-05-10 2004-02-11 삼성전자주식회사 Method of forming silicidation blocking layer
US6738546B2 (en) 2001-05-17 2004-05-18 Sioptical, Inc. Optical waveguide circuit including multiple passive optical waveguide devices, and method of making same
US6690844B2 (en) 2001-05-17 2004-02-10 Optronx, Inc. Optical fiber apparatus and associated method
US20030020144A1 (en) 2001-07-24 2003-01-30 Motorola, Inc. Integrated communications apparatus and method
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
JP2003131055A (en) 2001-10-25 2003-05-08 Fujitsu Ltd Optical waveguide and manufacturing method thereof
US6649990B2 (en) 2002-03-29 2003-11-18 Intel Corporation Method and apparatus for incorporating a low contrast interface and a high contrast interface into an optical device
US7010208B1 (en) 2002-06-24 2006-03-07 Luxtera, Inc. CMOS process silicon waveguides
JP4078898B2 (en) 2002-06-28 2008-04-23 日本電気株式会社 Thermo-optic phase shifter and manufacturing method thereof
US7043106B2 (en) 2002-07-22 2006-05-09 Applied Materials, Inc. Optical ready wafers
US7609977B2 (en) 2002-12-03 2009-10-27 Finisar Corporation Optical transmission using semiconductor optical amplifier (SOA)
US7907648B2 (en) 2002-12-03 2011-03-15 Finisar Corporation Optical FM source based on intra-cavity phase and amplitude modulation in lasers
US7095010B2 (en) 2002-12-04 2006-08-22 California Institute Of Technology Silicon on insulator resonator sensors and modulators and method of operating the same
US20040190274A1 (en) 2003-03-27 2004-09-30 Yoshio Saito Compact low cost plastic MCM to PCB
US7020364B2 (en) 2003-03-31 2006-03-28 Sioptical Inc. Permanent light coupling arrangement and method for use with thin silicon optical waveguides
EP1625615B1 (en) 2003-04-21 2017-07-26 Cisco Technology, Inc. Cmos-compatible integration of silicon-based optical devices with electronic devices
WO2005024470A2 (en) 2003-09-04 2005-03-17 Sioptical, Inc External grating structures for interfacing wavelength-division-multiplexed optical sources with thin optical waveguides
JP2007515086A (en) 2003-11-07 2007-06-07 ペルロス テクノロジー オサケユキチュア Method and apparatus for all-optical signal processing
US7139448B2 (en) 2003-11-20 2006-11-21 Anvik Corporation Photonic-electronic circuit boards
JP4653949B2 (en) 2003-12-10 2011-03-16 ルネサスエレクトロニクス株式会社 Semiconductor device manufacturing method and semiconductor device
US7251386B1 (en) 2004-01-14 2007-07-31 Luxtera, Inc Integrated photonic-electronic circuits and systems
US7023615B1 (en) 2004-01-21 2006-04-04 Che Ram Souza Voigt Structure for supporting an optical telescope
US7315679B2 (en) * 2004-06-07 2008-01-01 California Institute Of Technology Segmented waveguide structures
US7169654B2 (en) 2004-11-15 2007-01-30 Freescale Semiconductor, Inc. Method of forming a semiconductor device
JP4812502B2 (en) 2005-04-25 2011-11-09 ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor device
US7231113B2 (en) 2005-08-19 2007-06-12 Infinera Corporation Coupled optical waveguide resonators with heaters for thermo-optic control of wavelength and compound filter shape
JP2007114253A (en) 2005-10-18 2007-05-10 Hitachi Cable Ltd Waveguide type optical branching device
US7215845B1 (en) 2006-01-20 2007-05-08 Apic Corporation Optical interconnect architecture
US8213754B2 (en) 2006-06-21 2012-07-03 Agency For Science Technology And Research Optical splitter, combiner and device
WO2008030468A2 (en) * 2006-09-07 2008-03-13 Massachusetts Institute Of Technology Microphotonic waveguide including core/cladding interface layer

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6451712B1 (en) * 2000-12-18 2002-09-17 International Business Machines Corporation Method for forming a porous dielectric material layer in a semiconductor device and device formed
US6709976B1 (en) * 2002-07-29 2004-03-23 The United States Of America As Represented By The Secretary Of The Navy Method for improving reliability in trench structures
US7016587B2 (en) * 2004-01-20 2006-03-21 Xerox Corporation Low loss silicon waveguide and method of fabrication thereof
US20070116398A1 (en) * 2005-11-22 2007-05-24 Dong Pan HIGH SPEED AND LOW LOSS GeSi/Si ELECTRO-ABSORPTION LIGHT MODULATOR AND METHOD OF FABRICATION USING SELECTIVE GROWTH
US20070292075A1 (en) * 2006-06-15 2007-12-20 Sioptical, Inc. Silicon modulator offset tuning arrangement

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
SOLTANI, M ET AL.: "Ultra-high Q planar silicon microdisk resonators for chip-scale silicon photonics", OPTICS EXPRESS, vol. 15, no. 8, 16 April 2007 (2007-04-16), pages 4697, XP008143233, Retrieved from the Internet <URL:http://www.opticsinfobase.org/DirectPDFAccess/OCDE68F4-BDB9-137E-C915400C40D852BC_131867.pdf?da=1&id=131867&seq=0> [retrieved on 20090929] *

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2486011A (en) * 2010-12-01 2012-06-06 Oclaro Technology Ltd Optical waveguide with etched ridge structure
US9217827B2 (en) 2010-12-01 2015-12-22 Oclaro Technology Limited Optical waveguide arrangements comprising an auxiliary waveguide-like structure

Also Published As

Publication number Publication date
US8148265B2 (en) 2012-04-03
US20100055906A1 (en) 2010-03-04
EP2318865A1 (en) 2011-05-11

Similar Documents

Publication Publication Date Title
US7693354B2 (en) Salicide structures for heat-influenced semiconductor applications
US8148265B2 (en) Two-step hardmask fabrication methodology for silicon waveguides
US7927979B2 (en) Multi-thickness semiconductor with fully depleted devices and photonic integration
KR100445923B1 (en) A METHOD TO FABRICATE A STRAINED Si CMOS STRUCTURE USING SELECTIVE EPITAXIAL DEPOSITION OF Si AFTER DEVICE ISOLATION FORMATION
US8815704B2 (en) Semiconductor substrate for photonic and electronic structures and method of manufacture
US7573106B2 (en) Semiconductor device and manufacturing method therefor
US7672558B2 (en) Silicon optical device
US5488246A (en) Semiconductor device and method of manufacturing the same
US6936383B2 (en) Method of defining the dimensions of circuit elements by using spacer deposition techniques
KR19980702003A (en) Layer structures with silicide layers and methods of making such layer structures
US20210135025A1 (en) Method and optoelectronic structure providing polysilicon photonic devices with different optical properties in different regions
KR20160126838A (en) Semiconductor structure and manufacturing method thereof
US20050260818A1 (en) Semiconductor device and method for fabricating the same
US7026213B1 (en) Method of fabricating flash memory device
KR100666892B1 (en) Method for manufacturing semiconductor device
US6110794A (en) Semiconductor having self-aligned, buried etch stop for trench and manufacture thereof
US20130249002A1 (en) Structure and method to improve etsoi mosfets with back gate
US6255182B1 (en) Method of forming a gate structure of a transistor by means of scalable spacer technology
KR100537275B1 (en) Method for manufacturing semiconductor device
TW200839330A (en) Low-loss optical device structure
JP2004247444A (en) Forming method of thin film pattern
US7026211B1 (en) Semiconductor component and method of manufacture
US6423647B1 (en) Formation of dielectric regions of different thicknesses at selective location areas during laser thermal processes
KR100460756B1 (en) Method of manufacturing semiconductor device
KR20040001506A (en) Method for forming trench in semiconductor device

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 09810591

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

WWE Wipo information: entry into national phase

Ref document number: 2009810591

Country of ref document: EP