WO2010039936A3 - Use of surfactant/defoamer mixtures for enhanced metals loading and surface passivation of silicon substrates - Google Patents

Use of surfactant/defoamer mixtures for enhanced metals loading and surface passivation of silicon substrates Download PDF

Info

Publication number
WO2010039936A3
WO2010039936A3 PCT/US2009/059199 US2009059199W WO2010039936A3 WO 2010039936 A3 WO2010039936 A3 WO 2010039936A3 US 2009059199 W US2009059199 W US 2009059199W WO 2010039936 A3 WO2010039936 A3 WO 2010039936A3
Authority
WO
WIPO (PCT)
Prior art keywords
surfactant
silicon substrates
surface passivation
metals loading
enhanced
Prior art date
Application number
PCT/US2009/059199
Other languages
French (fr)
Other versions
WO2010039936A2 (en
Inventor
Michael B. Korzenski
Ping Jiang
Charles Beall
Mick Bjelopavlic
Original Assignee
Advanced Technology Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Technology Materials, Inc. filed Critical Advanced Technology Materials, Inc.
Priority to CN2009801463328A priority Critical patent/CN102217042A/en
Priority to EP09818502.8A priority patent/EP2342738A4/en
Priority to JP2011530216A priority patent/JP2012504871A/en
Publication of WO2010039936A2 publication Critical patent/WO2010039936A2/en
Publication of WO2010039936A3 publication Critical patent/WO2010039936A3/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/08Etching, surface-brightening or pickling compositions containing an inorganic acid containing a fluorine compound
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D1/00Detergent compositions based essentially on surface-active compounds; Use of these compounds as a detergent
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D1/00Detergent compositions based essentially on surface-active compounds; Use of these compounds as a detergent
    • C11D1/008Polymeric surface-active agents
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D1/00Detergent compositions based essentially on surface-active compounds; Use of these compounds as a detergent
    • C11D1/66Non-ionic compounds
    • C11D1/72Ethers of polyoxyalkylene glycols
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D1/00Detergent compositions based essentially on surface-active compounds; Use of these compounds as a detergent
    • C11D1/66Non-ionic compounds
    • C11D1/78Neutral esters of acids of phosphorus
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/0005Other compounding ingredients characterised by their effect
    • C11D3/0026Low foaming or foam regulating compositions
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/02Inorganic compounds ; Elemental compounds
    • C11D3/04Water-soluble compounds
    • C11D3/042Acids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2068Ethers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/37Polymers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/37Polymers
    • C11D3/3703Macromolecular compounds obtained otherwise than by reactions only involving carbon-to-carbon unsaturated bonds
    • C11D3/3707Polyethers, e.g. polyalkyleneoxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/14Aqueous compositions
    • C23F1/16Acidic compositions
    • C23F1/18Acidic compositions for etching copper or alloys thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02002Preparing wafers
    • H01L21/02005Preparing bulk and homogeneous wafers
    • H01L21/02032Preparing bulk and homogeneous wafers by reclaiming or re-processing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only
    • C11D2111/22

Abstract

Removal compositions and processes for removing at least one material layer from a rejected microelectronic device structure having same thereon. The removal composition includes hydrofluoric acid. The composition achieves substantial removal of the material(s) to be removed while not damaging the layers to be retained, for reclaiming, reworking, recycling and / or reuse of said structure.
PCT/US2009/059199 2008-10-02 2009-10-01 Use of surfactant/defoamer mixtures for enhanced metals loading and surface passivation of silicon substrates WO2010039936A2 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
CN2009801463328A CN102217042A (en) 2008-10-02 2009-10-01 Use of surfactant/defoamer mixtures for enhanced metals loading and surface passivation of silicon substrates
EP09818502.8A EP2342738A4 (en) 2008-10-02 2009-10-01 Use of surfactant/defoamer mixtures for enhanced metals loading and surface passivation of silicon substrates
JP2011530216A JP2012504871A (en) 2008-10-02 2009-10-01 Use of surfactant / antifoam mixtures for high metal loading and surface passivation of silicon substrates

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US10235208P 2008-10-02 2008-10-02
US61/102,352 2008-10-02
US14498609P 2009-01-15 2009-01-15
US61/144,986 2009-01-15

Publications (2)

Publication Number Publication Date
WO2010039936A2 WO2010039936A2 (en) 2010-04-08
WO2010039936A3 true WO2010039936A3 (en) 2010-07-01

Family

ID=42074210

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2009/059199 WO2010039936A2 (en) 2008-10-02 2009-10-01 Use of surfactant/defoamer mixtures for enhanced metals loading and surface passivation of silicon substrates

Country Status (6)

Country Link
EP (1) EP2342738A4 (en)
JP (1) JP2012504871A (en)
KR (1) KR20110063845A (en)
CN (1) CN102217042A (en)
TW (1) TWI485110B (en)
WO (1) WO2010039936A2 (en)

Families Citing this family (65)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8164190B2 (en) 2009-06-25 2012-04-24 International Business Machines Corporation Structure of power grid for semiconductor devices and method of making the same
US20120091100A1 (en) 2010-10-14 2012-04-19 S.O.I.Tec Silicon On Insulator Technologies Etchant for controlled etching of ge and ge-rich silicon germanium alloys
CN102533124A (en) * 2010-12-31 2012-07-04 上海硅酸盐研究所中试基地 Polishing solution for silicon carbide substrate
DE102011000322A1 (en) 2011-01-25 2012-07-26 saperatec GmbH Separating medium, method and system for separating multilayer systems
EP2514799A1 (en) * 2011-04-21 2012-10-24 Rohm and Haas Electronic Materials LLC Improved polycrystalline texturing composition and method
EP2748841A4 (en) * 2011-08-22 2015-10-14 1366 Tech Inc Formulation for acidic wet chemical etching of silicon wafers
JP5913869B2 (en) 2011-08-31 2016-04-27 林純薬工業株式会社 Etching solution composition and etching method
JP5933950B2 (en) 2011-09-30 2016-06-15 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド Etching solution for copper or copper alloy
US10176979B2 (en) 2012-02-15 2019-01-08 Entegris, Inc. Post-CMP removal using compositions and method of use
JP5548224B2 (en) * 2012-03-16 2014-07-16 富士フイルム株式会社 Semiconductor substrate product manufacturing method and etching solution
CN104603077B (en) * 2012-05-10 2019-01-29 康宁股份有限公司 Glass etching medium and method
KR20150016574A (en) 2012-05-18 2015-02-12 인티그리스, 인코포레이티드 Composition and process for stripping photoresist from a surface including titanium nitride
US9487885B2 (en) * 2012-06-14 2016-11-08 Tivra Corporation Substrate structures and methods
US9879357B2 (en) 2013-03-11 2018-01-30 Tivra Corporation Methods and systems for thin film deposition processes
JP2014057039A (en) * 2012-08-10 2014-03-27 Fujifilm Corp Process of manufacturing semiconductor substrate product and etchant
US8821215B2 (en) * 2012-09-07 2014-09-02 Cabot Microelectronics Corporation Polypyrrolidone polishing composition and method
KR102118964B1 (en) 2012-12-05 2020-06-08 엔테그리스, 아이엔씨. Compositions for cleaning iii-v semiconductor materials and methods of using same
EP2964725B1 (en) 2013-03-04 2021-06-23 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
CN105050681B (en) * 2013-03-21 2017-12-05 Dic株式会社 Defoamer, surface activator composition, coating composition and anti-corrosion agent composition
WO2014178422A1 (en) * 2013-05-02 2014-11-06 富士フイルム株式会社 Etching solution and etching solution kit, etching method using same, and production method for semiconductor substrate product
JP6198671B2 (en) 2013-05-02 2017-09-20 富士フイルム株式会社 Etching method, etching solution used therefor, and method for manufacturing semiconductor substrate product
WO2014178424A1 (en) * 2013-05-02 2014-11-06 富士フイルム株式会社 Etching method, etching solution used in same, etching solution kit, and method for manufacturing semiconductor substrate product
KR101790090B1 (en) * 2013-05-02 2017-10-25 후지필름 가부시키가이샤 Etching method, etching liquid and etching liquid kit to be used in said method, and semiconductor substrate product manufacturing method
JP6723152B2 (en) 2013-06-06 2020-07-15 インテグリス・インコーポレーテッド Compositions and methods for selectively etching titanium nitride
WO2015017659A1 (en) 2013-07-31 2015-02-05 Advanced Technology Materials, Inc. AQUEOUS FORMULATIONS FOR REMOVING METAL HARD MASK AND POST-ETCH RESIDUE WITH Cu/W COMPATIBILITY
US9633831B2 (en) * 2013-08-26 2017-04-25 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical polishing composition for polishing a sapphire surface and methods of using same
JP6366952B2 (en) 2013-08-29 2018-08-01 住友化学株式会社 Manufacturing method of niobic acid ferroelectric thin film element
EP3333912B1 (en) * 2013-08-29 2021-06-23 Sumitomo Chemical Company, Ltd Method for manufacturing niobate-system ferroelectric thin film device
WO2015031620A1 (en) 2013-08-30 2015-03-05 Advanced Technology Materials, Inc. Compositions and methods for selectively etching titanium nitride
US9416297B2 (en) 2013-11-13 2016-08-16 Taiwan Semiconductor Manufacturing Co., Ltd. Chemical mechanical polishing method using slurry composition containing N-oxide compound
WO2015095175A1 (en) 2013-12-16 2015-06-25 Advanced Technology Materials, Inc. Ni:nige:ge selective etch formulations and method of using same
SG11201605003WA (en) 2013-12-20 2016-07-28 Entegris Inc Use of non-oxidizing strong acids for the removal of ion-implanted resist
US10475658B2 (en) 2013-12-31 2019-11-12 Entegris, Inc. Formulations to selectively etch silicon and germanium
WO2015116818A1 (en) 2014-01-29 2015-08-06 Advanced Technology Materials, Inc. Post chemical mechanical polishing formulations and method of use
US11127587B2 (en) 2014-02-05 2021-09-21 Entegris, Inc. Non-amine post-CMP compositions and method of use
TWI558850B (en) * 2014-03-29 2016-11-21 精密聚合物股份有限公司 The processing liquid for electronic components and the production method of electronic components
US9567493B2 (en) * 2014-04-25 2017-02-14 Taiwan Semiconductor Manufacturing Company, Ltd. CMP slurry solution for hardened fluid material
WO2016076034A1 (en) * 2014-11-13 2016-05-19 三菱瓦斯化学株式会社 Alkaline earth metal-containing cleaning solution for cleaning semiconductor element, and method for cleaning semiconductor element using same
CN104498951B (en) * 2014-12-11 2017-05-17 深圳新宙邦科技股份有限公司 Oxydol etching solution for copper-molybdenum alloy films
US10301580B2 (en) * 2014-12-30 2019-05-28 Versum Materials Us, Llc Stripping compositions having high WN/W etching selectivity
JP6501218B2 (en) * 2015-03-18 2019-04-17 株式会社Adeka Etching solution composition and etching method
US10957547B2 (en) 2015-07-09 2021-03-23 Entegris, Inc. Formulations to selectively etch silicon germanium relative to germanium
JP6761166B2 (en) * 2015-07-23 2020-09-23 セントラル硝子株式会社 Wet etching method and etching solution
KR101806882B1 (en) * 2015-08-20 2018-01-10 주식회사 태크녹스 Composition for cleaning solar cell panel and auto-cleaning solar cell panel using the same
JP2017216444A (en) * 2016-05-31 2017-12-07 ナガセケムテックス株式会社 Etchant
CN106222756A (en) * 2016-09-30 2016-12-14 杭州飞鹿新能源科技有限公司 Additive and application process thereof for diamond wire cutting fine-hair maring using monocrystalline silicon slice
KR102404226B1 (en) * 2016-10-31 2022-06-02 주식회사 이엔에프테크놀로지 ETCHANT composition
CN109988509B (en) * 2017-12-29 2021-07-09 浙江新创纳电子科技有限公司 Lithium tantalate reduction sheet polishing solution and preparation method and application thereof
JP7175316B2 (en) 2018-01-25 2022-11-18 メルク パテント ゲゼルシャフト ミット ベシュレンクテル ハフツング photoresist remover composition
US11678433B2 (en) 2018-09-06 2023-06-13 D-Wave Systems Inc. Printed circuit board assembly for edge-coupling to an integrated circuit
US11873564B2 (en) * 2018-10-02 2024-01-16 Hutchinson Technology Incorporated Etch chemistry for metallic materials
KR20210097749A (en) 2018-12-03 2021-08-09 후지필름 일렉트로닉 머티리얼스 유.에스.에이., 아이엔씨. etching composition
US11647590B2 (en) 2019-06-18 2023-05-09 D-Wave Systems Inc. Systems and methods for etching of metals
CN113122147B (en) * 2019-12-31 2024-03-12 安集微电子科技(上海)股份有限公司 Chemical mechanical polishing solution and application method thereof
KR102192954B1 (en) * 2020-03-26 2020-12-18 동우 화인켐 주식회사 Composition for cleaning polymer
TWI741911B (en) * 2020-12-16 2021-10-01 環球晶圓股份有限公司 Method for removing epitaxial layer
CN112812076B (en) * 2021-01-13 2022-02-01 西南石油大学 Bis-sulfonic acid-based surfactant, clean fracturing fluid and preparation method thereof
CN112967930B (en) * 2021-02-07 2023-05-12 西安微电子技术研究所 Metallized layer stripping method of SiC wafer
CN113150752A (en) * 2021-03-04 2021-07-23 山东祺龙海洋石油钢管股份有限公司 High-efficiency sand-carrying agent for crossing
CN113448185B (en) * 2021-07-22 2022-08-05 福建省佑达环保材料有限公司 Developing solution composition used in silicon-based OLED (organic light emitting diode) manufacturing process
CN113463105A (en) * 2021-08-06 2021-10-01 立邦涂料(重庆)化工有限公司 High-permeability aluminum fin environment-friendly cleaning agent
CN113690401B (en) * 2021-10-26 2021-12-31 中南大学 Zinc phosphate-silane composite passive film modified zinc metal negative electrode and preparation method and application thereof
CN114264623A (en) * 2021-12-22 2022-04-01 河北新立中有色金属集团有限公司 Method for measuring trace phosphorus in cast aluminum alloy
CN116023945A (en) * 2022-12-27 2023-04-28 浙江奥首材料科技有限公司 Etching liquid composition, etching liquid and preparation method thereof
CN115960609B (en) * 2022-12-30 2023-10-24 浙江奥首材料科技有限公司 Etching solution for etching oxide layer on surface of wafer with high selectivity, preparation method and application thereof

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6033996A (en) * 1997-11-13 2000-03-07 International Business Machines Corporation Process for removing etching residues, etching mask and silicon nitride and/or silicon dioxide
US6562726B1 (en) * 1999-06-29 2003-05-13 Micron Technology, Inc. Acid blend for removing etch residue
US20030235997A1 (en) * 2000-12-30 2003-12-25 Ki-Beom Lee Method of controlling metallic layer etching process and regenerating etchant for metallic layer etching layer etching process based on near infrared spectrometer
US20060138399A1 (en) * 2002-08-22 2006-06-29 Mitsushi Itano Removing solution

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5803956A (en) * 1994-07-28 1998-09-08 Hashimoto Chemical Company, Ltd. Surface treating composition for micro processing
US6900003B2 (en) * 2002-04-12 2005-05-31 Shipley Company, L.L.C. Photoresist processing aid and method
JP4241018B2 (en) * 2002-12-06 2009-03-18 メック株式会社 Etching solution
JP4799843B2 (en) * 2003-10-17 2011-10-26 三星電子株式会社 Etching composition having high etching selectivity, manufacturing method thereof, selective etching method of oxide film using the same, and manufacturing method of semiconductor device
WO2005045120A1 (en) * 2003-10-29 2005-05-19 The Procter & Gamble Company Fabric article treating system and method
KR100675284B1 (en) * 2005-02-01 2007-01-26 삼성전자주식회사 Microelectronic cleaning compositions and methods of fabricating semiconductor devices using the same
WO2006138235A2 (en) * 2005-06-13 2006-12-28 Advanced Technology Materials, Inc. Compositions and methods for selective removal of metal or metal alloy after metal silicide formation
DE102005038358A1 (en) * 2005-08-11 2007-02-15 Basf Ag Process for the preparation of pigment preparations
TW200908148A (en) * 2007-03-31 2009-02-16 Advanced Tech Materials Methods for stripping material for wafer reclamation

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6033996A (en) * 1997-11-13 2000-03-07 International Business Machines Corporation Process for removing etching residues, etching mask and silicon nitride and/or silicon dioxide
US6562726B1 (en) * 1999-06-29 2003-05-13 Micron Technology, Inc. Acid blend for removing etch residue
US20030235997A1 (en) * 2000-12-30 2003-12-25 Ki-Beom Lee Method of controlling metallic layer etching process and regenerating etchant for metallic layer etching layer etching process based on near infrared spectrometer
US20060138399A1 (en) * 2002-08-22 2006-06-29 Mitsushi Itano Removing solution

Also Published As

Publication number Publication date
KR20110063845A (en) 2011-06-14
CN102217042A (en) 2011-10-12
EP2342738A4 (en) 2013-04-17
TWI485110B (en) 2015-05-21
EP2342738A2 (en) 2011-07-13
JP2012504871A (en) 2012-02-23
WO2010039936A2 (en) 2010-04-08
TW201022148A (en) 2010-06-16

Similar Documents

Publication Publication Date Title
WO2010039936A3 (en) Use of surfactant/defoamer mixtures for enhanced metals loading and surface passivation of silicon substrates
EP1975987A3 (en) Methods for stripping material for wafer reclamation
WO2008157345A3 (en) Wafer reclamation compositions and methods
TW200722506A (en) Composition and method for recycling semiconductor wafers having low-k dielectric materials thereon
WO2006133249A3 (en) Integrated chemical mechanical polishing composition and process for single platen processing
US20120329369A1 (en) Substrate processing method and substrate processing apparatus
WO2009031270A1 (en) Wafer reclamation method and wafer reclamation apparatus
SG162757A1 (en) Metal and dielectric compatible sacrificial anti-reflective coating cleaning and removal composition
WO2007019279A3 (en) Method and composition for polishing a substrate
WO2007047365A3 (en) Metals compatible photoresist and/or sacrificial antireflective coating removal composition
WO2007027522A3 (en) Composition and method for removing thick film photoresist
WO2011094302A3 (en) Process for reconditioning semiconductor surface to facilitate bonding
WO2010120956A3 (en) Wafer manufacturing cleaning apparatus, process and method of use
WO2009135102A3 (en) Low ph mixtures for the removal of high density implanted resist
WO2006105150A3 (en) Metal cmp process on one or more polishing stations using slurries with oxidizers
WO2012154498A3 (en) Removal of metal impurities from silicon surfaces for solar cell and semiconductor applications
WO2008143187A1 (en) Substrate cleaning solution for semiconductor device and method for manufacturing semiconductor device
WO2005098920A3 (en) Aqueous solution for removing post-etch residue
WO2012051380A3 (en) Composition for and method of suppressing titanium nitride corrosion
WO2015187675A3 (en) Anti-reflective coating cleaning and post-etch residue removal composition having metal, dielectric and nitride compatibility
WO2008150726A3 (en) Method for integrating nanotube devices with cmos for rf/analog soc applications
SG148971A1 (en) Substrates and methods of using those substrates
WO2008085390A3 (en) Substrate cleaning processes through the use of solvents and systems
WO2009008958A3 (en) Method of post etch polymer residue removal
CN105643431B (en) Wafer grinding method

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 200980146332.8

Country of ref document: CN

121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 09818502

Country of ref document: EP

Kind code of ref document: A2

WWE Wipo information: entry into national phase

Ref document number: 2011530216

Country of ref document: JP

NENP Non-entry into the national phase

Ref country code: DE

ENP Entry into the national phase

Ref document number: 20117009703

Country of ref document: KR

Kind code of ref document: A

WWE Wipo information: entry into national phase

Ref document number: 2009818502

Country of ref document: EP