WO2010051266A2 - Improving the conformal doping in p3i chamber - Google Patents

Improving the conformal doping in p3i chamber Download PDF

Info

Publication number
WO2010051266A2
WO2010051266A2 PCT/US2009/062172 US2009062172W WO2010051266A2 WO 2010051266 A2 WO2010051266 A2 WO 2010051266A2 US 2009062172 W US2009062172 W US 2009062172W WO 2010051266 A2 WO2010051266 A2 WO 2010051266A2
Authority
WO
WIPO (PCT)
Prior art keywords
substrate
plasma
ions
gas
material layer
Prior art date
Application number
PCT/US2009/062172
Other languages
French (fr)
Other versions
WO2010051266A3 (en
Inventor
Peter I. Porshnev
Matthew D. Scotney-Castle
Majeed Ali Foad
Original Assignee
Applied Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials, Inc. filed Critical Applied Materials, Inc.
Priority to CN2009801434414A priority Critical patent/CN102203912B/en
Priority to JP2011534673A priority patent/JP5558480B2/en
Publication of WO2010051266A2 publication Critical patent/WO2010051266A2/en
Publication of WO2010051266A3 publication Critical patent/WO2010051266A3/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/223Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase
    • H01L21/2236Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase from or into a plasma phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32412Plasma immersion ion implantation

Definitions

  • Embodiments of the invention generally relate to the field of semiconductor manufacturing processes and devices, more particular, to methods of implanting ions into a substrate by a plasma immersion ion implantation process.
  • Integrated circuits may include more than one million micro-electronic field effect transistors (e.g., complementary metal-oxide-semiconductor (CMOS) field effect transistors) that are formed on a substrate (e.g., semiconductor wafer) and cooperate to perform various functions within the circuit.
  • CMOS transistor comprises a gate structure disposed between source and drain regions that are formed in the substrate.
  • the gate structure generally comprises a gate electrode and a gate dielectric layer.
  • the gate electrode is disposed over the gate dielectric layer to control a flow of charge carriers in a channel region formed between the drain and source regions beneath the gate dielectric layer.
  • An ion implantation process is typically utilized to implant, or dope, ions into the substrate, forming the gate and source drain structure with desired profile and concentration on the substrate.
  • different process gases or gas mixtures may be used to provide ion source species.
  • the process gases are supplied into the ion implantation processing chamber (such as a P3i chamber commercially available from Applied Materials, Inc., of Santa Clara, California), the gases are subjected to processes to dissociate ions, which are then accelerated toward and into the surface of the substrate.
  • ions accelerated to the substrate surface are typically in a linear movement, e.g., one directional movement, the ions are mostly implanted into a bottom surface of a structure, e.g., patterns or trenches, formed on the substrate surface rather than to sidewalls of the structure.
  • Non-conformal ion doping may result in insufficient and/or non-uniform ion concentration, profile, dimension, and distribution across the substrate surface, especially in feature definitions formed in the substrate surface, thereby adversely affecting the overall electrical device performance.
  • critical dimensions shrink the precision of ion implantation becomes increasingly important. [0004] Therefore, there is a need for an improved ion implantation process to provide for a more conformal implantation of ions on a substrate surface and in feature definitions.
  • a method for implanting ions into a substrate includes providing a substrate into a processing chamber, the substrate comprising substrate surface having one or more features formed therein and each feature having one or more horizontal surfaces and one or more vertical surfaces, generating a plasma from a gas mixture including a reacting gas adapted to produce ions, depositing a material layer on the substrate surface and on at least one horizontal surface of the substrate feature, implanting ions from the plasma into the substrate by an isotropic process into at least one horizontal surface and into at least one vertical surface, and etching the material layer on the substrate surface and the at least one horizontal surface by an anisotropic process.
  • a method for implanting ions into a substrate includes providing a substrate into a processing chamber, the substrate comprising substrate surface having one or more features formed therein and each feature having one or more horizontal surfaces and one or more vertical surfaces, generating a plasma from a gas mixture including a reacting gas adapted to produce ions, depositing a material layer on the substrate surface and on at least one horizontal surface of the substrate feature, implanting ions from the plasma into the substrate by an isotropic process into at least one horizontal surface and at least one vertical surface, sputtering a portion of the material layer, a portion of the implanted ions, or combinations thereof, from the at least one horizontal surface to at least one vertical surface, and etching the substrate surface and the one or more horizontal surfaces and one or more vertical surfaces by an isotropic process.
  • a method for implanting ions into a substrate includes providing a substrate into a processing chamber, the substrate comprising substrate surface having one or more features formed therein and each feature having one or more horizontal surfaces and one or more vertical surfaces, depositing a material layer on the substrate surface and on at least one horizontal surface of the substrate feature, generating a plasma from a gas mixture including a reacting gas adapted to produce ions, implanting ions from the plasma into the substrate by an isotropic process into at least one horizontal surface and into at least one vertical surface, and etching the material layer on the substrate surface and the at least one horizontal surface by an anisotropic process.
  • FIGS. 1A-1 B depict one embodiment of a plasma immersion ion implantation tool suitable for practicing the present invention
  • FIG. 2 depicts a process diagram illustrating a method for plasma immersion ion implantation process according to one embodiment of the present invention
  • FIGS. 3A-3D depict schematic side views of a method for plasma immersion ion implantation process according to one embodiment of the present invention
  • FIG. 4 depicts a process diagram illustrating a method for plasma immersion ion implantation process according to another embodiment of the present invention.
  • FIGS. 5A-5D depict schematic side views of a method for plasma immersion ion implantation process according to another embodiment of the present invention.
  • Embodiments of the invention provide methods for implanting ions into a substrate by a plasma immersion ion implantation process.
  • the invention may be used in gate structure or source/drain region formation including, but not limited to, poly doping, counter-poly doping, ultra shallow junction, and conformal doping for structures formed on a substrate, among others.
  • the ion implantation process may be utilized in different applications, such as DRAM, logic products, and flash memory.
  • the ion implantation process is performed by supplying a gas mixture including a reacting gas, for example a boron-containing gas.
  • a plasma is generated to dissociate ions from the gas mixture, thereby forming a source of ions that are accelerated toward and implanted into an electrically biased substrate and/or deposited as a material layer on the substrate surface.
  • the implanted ions may also be referred to as dopants.
  • the deposited material may be partially or completely removed to result in a dopant material disposed in the substrate surface.
  • the deposition and removal process provides a conformal doping profile in the bottom and side wall of structures disposed in the substrate surface.
  • the deposited material may be sputtered or otherwise redeposited on the substrate surface prior to the etching process.
  • the deposited material may be deposited by a separate process before ion implantation.
  • FIG. 1A depicts a plasma processing chamber 100 that may be utilized to practice an ion implantation process according to one embodiment of the invention.
  • One suitable reactor chamber which the process may be practiced is a P3i TM reactor chamber, available from Applied Materials, Inc., of Santa Clara, California. It is contemplated that the methods described herein may be practiced in other suitably adapted plasma reactors, including those from other manufacturers.
  • Other suitable reactor chambers include the chambers described in United States Patent No. 7,094,670, issued on August 22, 2006, and United States Patent No. 6,494,986, issued on December 17, 2002, both of which are hereby incorporated by reference to the extent not inconsistent with the recited claims and description herein.
  • the processing chamber 100 includes a chamber body 102 having a bottom 124, a top 126, and side walls 122 enclosing a process region 104.
  • a substrate support assembly 128 is supported from the bottom 124 of the chamber body 102 and is adapted to receive a substrate 106 for processing.
  • a gas distribution plate 130 is coupled to the top 126 of the chamber body 102 facing the substrate support assembly 128.
  • a pumping port 132 is defined in the chamber body 102 and coupled to a vacuum pump 134. The vacuum pump 134 is coupled through a throttle valve 136 to the pumping port 132.
  • a gas source 152 is coupled to the gas distribution plate 130 to supply gaseous precursor compounds for processes performed on the substrate 106.
  • the processing chamber 100 depicted in FIG. 1A further includes a plasma source 190 best shown in the perspective view of FIG. 1 B.
  • the plasma source 190 includes a pair of separate external reentrant conduits 140, 140' mounted on the outside of the top 126 of the chamber body 102 disposed transverse to one another (or orthogonal to one another as the exemplary embodiment depicted in FIG. 1 B).
  • the first external conduit 140 has a first end 140a coupled through an opening 198 formed in the top 126 into a first side of the process region 104 in the chamber body 102.
  • a second end 140b has an opening 196 coupled into a second side of the process region 104.
  • the second external reentrant conduit 140' has a first end 140a' having an opening 194 coupled into a third side of the process region 104 and a second end 140b' having an opening 192 into a fourth side of the process region 104.
  • the first and second external reentrant conduits 140, 140' are configured to be orthogonal to one another, thereby providing the two ends 140a, 140a', 140b, 140b' of each external reentrant conduits 140, 140' disposed at about 90 degree intervals around the periphery of the top 126 of the chamber body 102.
  • the orthogonal configuration of the external reentrant conduits 140, 140' allows a plasma source distributed uniformly across the process region 104. It is contemplated that the first and second external reentrant conduits 140, 140' may have other configurations utilized to control plasma distribution in the process region 104.
  • Magnetically permeable torroidal cores 142, 142' surround a portion of a corresponding one of the external reentrant conduits 140, 140'.
  • the conductive coils 144, 144' are coupled to respective RF plasma source power sources 146, 146' through respective impedance match circuits or elements 148, 148'.
  • Each external reentrant conduits 140, 140' is a hollow conductive tube interrupted by an insulating annular ring 150, 150' respectively that interrupts an otherwise continuous electrical path between the two ends 140a, 140b (and 140a', 104b') of the respective external reentrant conduits 140, 140'.
  • Ion energy at the substrate surface is controlled by an RF plasma bias power generator 154 coupled to the substrate support assembly 128 through an impedance match circuit or element 156.
  • process gases including gaseous compounds supplied from the process gas source 152 are introduced through the overhead gas distribution plate 130 into the process region 104.
  • RF plasma source power source 146 is coupled from the power applicators, i.e., core and coil, 142, 144 to gases supplied in the conduit 140, which creates a circulating plasma current in a first closed torroidal path including the external reentrant conduit 140 and the process region 104.
  • RF plasma source power source 146' may be coupled from the other power applicators, i.e., core and coil, 142', 144' to gases in the second conduit 140', which creates a circulating plasma current in a second closed torroidal path transverse (e.g., orthogonal) to the first torroidal path.
  • the second torroidal path includes the second external reentrant conduit 140' and the process region 104.
  • the plasma currents in each of the paths oscillate (e.g., reverse direction) at the frequencies of the respective RF plasma source power sources 146, 146', which may be the same or slightly offset from one another.
  • the process gas source 152 provides different process gases that may be utilized to provide ions implanted to the substrate 106. Suitable examples of process gases include B 2 H 6 , AsH 3 , PH 3 , PF 3 , BF 3 , and combinations thereof.
  • the power of each plasma source power sources 146, 146' is operated so that their combined effect efficiently dissociates the process gases supplied from the process gas source 152 and produces a desired ion flux at the surface of the substrate 106.
  • the power of the RF plasma bias power generator 154 is controlled at a selected level at which the ions formed by dissociation from the process gases may be accelerated toward the substrate surface and implanted at a desired depth below the top surface of the substrate 106 at a desired ion dose.
  • the combination of the controlled RF plasma source power and RF plasma bias power dissociates ion in the gas mixture having sufficient momentum and desired ion distribution in the processing chamber 100.
  • the ions are biased and driven toward the substrate surface, thereby implanting ions into the substrate in a desired ion concentration, distribution and depth from the substrate surface.
  • relatively low RF bias power such as less than about 200 W, relatively low plasma ion energy may be obtained.
  • Dissociated ions with low ion energy may be implanted at a shallow depth between about 1 A and about 100 A from the substrate surface.
  • dissociated ions with high ion energy provided and generated from high RF bias power such as higher than about 1 ,000 W, may be implanted into the substrate having a depth substantially over 100 A from the substrate surface.
  • the controlled ion energy and different types of ion species from the supplied process gases allow ions to be implanted in the substrate 106 to form desired device structures, such as gate structure and source drain region on the substrate 106.
  • Conformality is the implantation of dopant in all surface of a feature definition and is represented by a conformality ratio.
  • a conformality ratio is defined as a ratio of sidewall dopant dosage (i.e., dose) to the feature bottom and/or top surface dopant dose.
  • the conformality ratio will be about 70%.
  • the conformality ratio may be from about 20% to about 90% by the implantation processes described herein.
  • Boron may be implanted to a conformality from about 50% to about 90% and Arsenic may be implanted to a conformality from about 20% to about 30%.
  • FIGS. 2 and 3A-3D a method for implanting ions into a substrate by a plasma immersion ion implantation process is described.
  • FIG. 2 depicts a process diagram illustrating a method for plasma immersion ion implantation process according to one embodiment of the present invention.
  • FIGS. 3A-3D depict schematic side views of a method for plasma immersion ion implantation process according to one embodiment of the present invention.
  • the method may be performed in a plasma immersion ion implantation processing chamber, such as the processing chamber 100, as described in FIG. 1A- 1 B herein, or other suitably adapted chamber.
  • the method begins by providing a substrate in the processing chamber 100.
  • the substrate may be a material such as silicon oxide, silicon carbide, crystalline silicon ⁇ e.g., Si ⁇ 100> or Si ⁇ 111>), strained silicon, silicon germanium, doped or undoped polysilicon, doped or undoped silicon wafers, doped silicon, germanium, gallium arsenide, gallium nitride, glass, and sapphire.
  • the substrate may have various dimensions, such as 200 mm or 300 mm diameter wafers, as well as, rectangular or square panes. Unless otherwise noted, embodiments and examples described herein are conducted on substrates with a 300 mm diameter.
  • a polysilicon layer may be disposed on a gate dielectric layer on the substrate.
  • a feature 310 may be formed in the substrate 300 as shown in FIG. 3A.
  • the feature may have one or more horizontal surfaces 312 and one or more vertical surfaces 314.
  • the substrate 300 may comprise a material to be doped, for example, a n-type material for p-type doping or a p-type material for a n-type doping.
  • the upper surface of the substrate surface may comprise a material 316 that may be doped for a desired structure, or may not be doped to provide dopant isolation from additional features 310.
  • a gas mixture is supplied into a processing chamber, such as the processing chamber 100 of Figure 1A, that may provide a material for deposition and/or provide ion species for an implantation process.
  • the gas mixture may be supplied from the process gas source 152 to the gas distribution plate 130, as described in FIG. 1A, or by other suitable means.
  • the gas mixture supplied into the processing chamber includes a reacting gas.
  • the reacting gas may provide a dopant material for deposition and/or implantation on a substrate surface and in features including boron, gallium, arsenic, phosphorous and combinations thereof, such as from conventional plasma enhanced chemical vapor deposition process.
  • the reacting gases that may be used to deposit a material layer include B 2 H 6 , AsH 3 , PH 3 , PF 3 , BF 3 , P 2 H 5 , GaN, AsF 5 , and combinations thereof.
  • the deposited dopant-containing material layer 340 may be deposited by an isotropic or anisotropic process. FIG.
  • the dopant- containing material layer 340 may be deposited to a thickness from about 5 A to about 1 ,000 A, such as from about 50 A to about 100 A.
  • the dopant-containing material layer 340 may be deposited by a plasma process, such as by an anisotropic plasma environment, from a RF discharge in a P3i chamber.
  • the reacting gas may also provide the desired ions to be implanted into the substrate at 220. Ions to be implanted may also be referred to as dopants or dopant species.
  • the reacting gas may provide a source of desired dopant species, such as boron, gallium, arsenic, phosphorous and combinations thereof, used to form active dopants in the electric device to produce desired electrical performance and/or physical properties of the doped region of the substrate.
  • the reacting gases that may be used to provide an ion specie source include B 2 H 6 , AsH 3 , PH 3 , PF 3 , BF 3 , P 2 H 5 , GaN, AsF 5 and combinations thereof.
  • the reacting gas is B 2 H 6 gas
  • the B 2 H 6 gas may be dissociated during the implantation process to produce ion species in the form of B 2 H 5 + , BH 2 + and H + ions.
  • FIG. 3C illustrates the implantation depth of the ions/dopants 350 on the horizontal (bottom) and vertical surfaces (sidewalls) 312, 314 of substrate feature 300 by an isotropic process.
  • the implanted dopants 350 may be implanted by a plasma process, such as an isotropic plasma ion environment, from a RF discharge of a P3i chamber.
  • the deposition of dopant-containing material layer 340 and ion implantation of dopants 350 may be performed at the same time, concurrently, in the same process or consecutively by one or more plasma deposition and plasma ion implantation processes.
  • the dopant-containing material layer 340 is deposited at step 210, and then the material layer is bombarded with ions, dopants, 350 at step 220 for the implantation process for the underlying substrate surface, such as shown in FIGS. 3B-3C.
  • the dopant-containing material layer 340 is deposited at step 210 and the dopants 350 are implanted at step 220 in the same process step at the same time, concurrently, such as shown in FIG. 3C without the process step shown in FIG. 3B.
  • Both processes may be performed in situ within a processing chamber, or may be performed in situ in chambers disposed on the same processing tool, without breaking vacuum, thereby allowing for the processes to be performed during a single processing pass of the substrate.
  • the deposited material and the implanted ions have the same element, such as boron ions in the substrate surface and a boron deposited layer on the surface thereof.
  • the ions, dopant species, and the deposition material, deposition species have different elements, such as boron as the dopant species and silane as the deposition species.
  • an ion implantation process is performed to implant ions generated from the gas mixture into the substrate.
  • a RF source power is applied to generate a plasma from the gas mixture in the processing chamber. The plasma is continuously generated during any flow rate changes in the gas mixture to dissociate the gas mixture as ion species and implant into the substrate.
  • a RF bias power may be applied along with the RF source power to dissociate and drive the dissociated ion species from the gas mixture toward and into a desired depth from the substrate surface.
  • the RF source and bias power applied to the processing chamber may be controlled at a desired energy level, thereby allowing the ion species to be dissociated and doped with a desired dosage and depth in the substrate.
  • the ions also known as dopants, may be implanted to a depth of less than 100 A at dose in a range about 5x10 14 atoms/cm 2 to about 5x10 15 atoms/cm 2 .
  • the ion implantation process may result in dopant-material deposition.
  • a concentration of dopants is represented by atoms/cm 3 , which can be calculated from the dosage/dose of the dopants.
  • ion implantation is performed with a controlled deposition in one processing step as shown in FIG. 3A and 3C.
  • the source RF power may be maintained at between about 100 Watts and about 5,000 Watts.
  • the bias RF power may be maintained at between about 100 Watts and about 10,000 Watts at a RF voltage between about 100 Volts and about 15,000 Volts.
  • the chamber pressure may be maintained at between about 1 mTorr and about 500 mTorr.
  • the substrate temperature may be maintained at between about 10 degrees Celsius and about 500 degrees Celsius.
  • the gas mixture supplied into the processing chamber may include an inert gas.
  • suitable inert gases include N 2 , Ar, He, Xe, and Kr and the like.
  • the inert gas in the processing chamber promotes the ion bombardment in the gas mixture, thereby efficiently increasing the probability of process gas collision, resulting in reduced recombination of ion species.
  • the inert gas may be flowed in to the processing chamber at a rate between about 10 seem and about 2,000 seem, such as between about 50 seem and about 500 seem.
  • One embodiment of a concurrent deposition and implantation process includes providing a reacting gas, such as diborane (B 2 H 6 ) or arsine (AsH 3 ), into the processing chamber at a flow rate from about 10 to about 1 ,000 seem, such as from about 50 seem to about 100 seem, applying a bias at a voltage from about 200 to about 10,000 volts(V), such as from about 500 V to about 1 ,500 V, maintaining a chamber pressure from about 1 mTorr to about 100 mTorr, such as from about 7 mTorr to about 15 mTorr, maintaining a wafer chuck temperature from about 15 0 C to about 500 0 C 1 such as from about 25 0 C to about 45 0 C, with an implantation process at a source power from about 100 W to about 2,000 W, such as from about 200 W to about 300 W, at a duration from about 1 second to about 60 seconds, such as from about 10 seconds to about 30 seconds.
  • a reacting gas such as diborane
  • An example of such a process includes providing diborane (B 2 H 6 ) into the processing chamber at a flow rate of about 50 seem, applying a bias at a voltage of about 1 ,000 V, maintaining a chamber pressure of about 15 mTorr, maintaining a wafer chuck temperature of about 25 0 C, with an implantation process at a source power of about 200 W, at a duration of about 20 seconds. A conformality ratio of about 70% was observed for the process.
  • the above process may also be used to both deposit the dopant-containing material layer 340 as well as implant the dopants 350.
  • the deposited material layer was observed to be anisotropically deposited into a horizontal portion of the substrate feature rather than into sidewalls of the substrate feature, and the ions dissociated from the gas mixture were observed to be isotropically implanted into a horizontal portion of the substrate feature and into vertical portions, sidewalls, of the substrate feature to provide for improved conformal implantation. It is believed that the dopants implanted with the deposited material layers were implanted to a more limited depth, thereby, allowing for improved conformality with the implanted dopants in the feature sidewalls. Alternatively, the dopant-containing material layer 340 may be deposited isotropically on the feature surfaces.
  • An etching process may be used to remove some or all of the dopant- containing material layer 340 deposition, and alternatively, some implanted dopants, on the horizontal portion of the substrate feature while retaining the ions implanted in the horizontal portions and vertical portions of the substrate feature at 230 as shown in FIG. 3D.
  • the etching process may be an anisotropic etching process.
  • an isotropic etching process may be used, such as when dopant-containing material layer 340 is deposited on the vertical surfaces, sidewalls, 314 of the feature definition.
  • etching process may be performed in situ with the deposition and/or implantation processes described herein in the same chamber or in chambers disposed on the same processing tool, without breaking vacuum, thereby allowing for the processes to be performed during a single processing pass of the substrate.
  • Suitable etching gases include halogen-containing compounds. Examples of etching compounds include NF 3 , and CF 4 .
  • Hydrogen gas and/or inert gases may be included in the etching gas.
  • a hydrogen plasma process may be used to remove the deposited material layer.
  • a sputtering plasma of an inert gas may be used to remove the deposited material layer.
  • One embodiment of an etching process includes providing an etching gas, such as nitrogen trifluoride (NF 3 ), into the processing chamber at a flow rate from about 25 to about 1000 seem, such as from about 50 to about 100 seem, providing an inert gas, such Argon gas (Ar), into the processing chamber at a flow rate from about 10 to about 1 ,000 seem, such as from about 100 to about 200 seem, maintaining a chamber pressure from about 7 mTorr to about 100 mTorr, such as from about 7 mTorr to about 15 mTorr, maintaining a wafer chuck temperature from about 15 0 C to about 9O 0 C, such as from about 25 0 C to about 35 0 C, and applying a source power from about 100 W to about 2,000 W, such as from about 200 W to about 250 W.
  • an etching gas such as nitrogen trifluoride (NF 3 )
  • NF 3 nitrogen trifluoride
  • Ar Argon gas
  • An example of such an etching process includes providing hydrogen (H 2 ) into the processing chamber at a flow rate of about 200 seem, maintaining a chamber pressure of about 15 mTorr, maintaining a wafer chuck temperature of about 25 0 C, and applying a source power of about 500 W.
  • operations 210-230 may be repeated or cyclically performed at 240 in one or more sequential actions to provide the desired ion implantation results.
  • Operations 210 and 220 may be performed at the same time, concurrently, during a cycle.
  • Operations 210-230 may be repeated from 1 to about 20 cycles, such as from about 3 to about 5 cycles.
  • FIGS. 4 and 5A-5D a method for implanting ions into a substrate by a plasma immersion ion implantation process is described.
  • FIG. 4 depicts a process diagram illustrating a method for plasma immersion ion implantation process according to another embodiment of the present invention.
  • FIGS. 5A-5D depict schematic side views of a method for plasma immersion ion implantation process according to another embodiment of the present invention.
  • the method may be performed in a plasma immersion ion implantation processing chamber, such as the processing chamber 100, as described in FIGS. 1A-1 B, or other suitably adapted chamber.
  • the method begins by providing a substrate in the processing chamber.
  • the substrate 500 may be a material such as silicon oxide, silicon carbide, crystalline silicon (e.g., Si ⁇ 100> or Si ⁇ 111 >), strained silicon, silicon germanium, doped or undoped polysilicon, doped or undoped silicon wafers, doped silicon, germanium, gallium arsenide, gallium nitride, glass, and sapphire.
  • the substrate may have various dimensions, such as 200 mm or 300 mm diameter wafers, as well as rectangular or square panes. Unless otherwise noted, embodiments and examples described herein are conducted on substrates with a 300 mm diameter.
  • a polysilicon layer may be disposed on a gate dielectric layer on the substrate.
  • a feature definition 510 may be formed in the substrate 500 as shown in FIG. 5A.
  • the feature may have one or more horizontal surfaces 512 and one or more vertical surfaces 514.
  • the substrate 500 may comprise a material to be doped, for example, a n-type material for p-type doping or a p-type material for a n- type doping.
  • the upper surface of the substrate surface may comprise a material 516 that may be doped for a desired structure, or may not be doped to provide dopant isolation of additional features 510.
  • a gas mixture is supplied into the processing chamber.
  • the gas mixture may provide a material for deposition and/or provide ion species for an implantation process.
  • the gas mixture may be supplied from the process gas source 152 to the gas distribution plate 130, as described in FIG. 1A, or by other suitable means.
  • the gas mixture supplied into the processing chamber 100 includes a reacting gas.
  • the reacting gas may provide a material for deposition on a substrate surface including boron, gallium, arsenic, and combinations thereof such as from a conventional plasma enhanced chemical vapor deposition process.
  • the reacting gases that may be used to deposit a material layer include B 2 H 6 , AsH 3 , PH 3 , PF 3 , BF 3 , P 2 H 5 , GaN, AsF 5 and combinations thereof.
  • the deposited material layer 540 may be deposited by an isotropic or anisotropic process. FIG.
  • the material 540 may be deposited to a thickness from about 5 A to about 1 ,000 A, such as from about 50 A to about 100 A.
  • the deposited material 540 may be deposited by a plasma process, such as an isotropic plasma environment, from a RF discharge of a P3i chamber.
  • the reacting gas may also provide the desired dopants/ions to be implanted into the substrate.
  • the reacting gas may provide a source of desired ion species, such as B, P, Ga, As, and the like, used to form active dopants in the electric device to produce desired electrical performance and/or physical properties of the doped region of the substrate.
  • the reacting gases that may be used to provide an ion specie source include B 2 H 6 , AsH 3 , PH 3 , PF 3 , BF 3 , P 2 H 5 , GaN, AsF 5 and combinations thereof.
  • the reacting gas is B 2 H 6 gas
  • the B 2 H 6 gas may be dissociated during the implantation process to produce ion species in form of BH 2+ , BH 2 + and H + ions.
  • FIG. 5B illustrates one embodiment with implantation of dopants 550 with the deposited dopant-containing material 540 in the horizontal surface 512.
  • no dopant implantation may occur for the deposition of the dopant- containing material 540.
  • dopants may be implanted in the vertical surfaces, sidewalls, 514 of the feature definition 510.
  • FIG. 5C illustrates the implantation depth of the ions/dopants 550 on the horizontal and vertical surfaces 512, 514 of substrate 500 following a dopant redistribution process described herein.
  • the ion implantation process may be an isotropic process or anisotropic process, of which isotropic is preferred for the current process.
  • the material deposition process may be an isotropic process or an anisotropic process, of which anisotropic is preferred for the current process.
  • the deposition of the dopant-containing material 540 and ion implantation of the dopants 550 may be performed at the same time in the same process or consecutively by one or more plasma deposition and plasma ion implantation processes.
  • the dopant-containing material 540 is deposited and the dopants 550 are implanted in the same process, combining operations 410 and 420 as shown in FIG. 5B, and the dopant-containing material 540, dopants 550, or combinations thereof, are redistributed at 430 as shown in FIG. 5C, such as by sputtering, to redeposit dopant- containing materials and implant dopants (or further implant dopants) in the sidewalls of the feature definition.
  • the dopant-containing material 540 and the dopants 550 are only formed on the horizontal surfaces of the feature definition 510.
  • the process uses alternative operation 420, wherein the dopant-containing material 540 is deposited, and then dopants 550 are implanted in sequential processes, and the material layer and dopants are redistributed, such as by sputtering, to redeposit dopant-containing materials and implant dopants (or further implant dopants) in the sidewalls of the feature definition.
  • the dopant-containing material 540 is deposited at 410 with or without implantation at 420, and the material layer is redistributed at 430, such as by sputtering, to redistribute dopant-containing materials and implant dopants in the sidewalls of the feature definition.
  • the dopant-containing materials and the redistribute dopant- containing materials may then be removed from the feature definition.
  • the conformality ratio for such a process has been observed to be from about 50% to about 90%.
  • the dopant-containing material 540 is deposited isotropically without or with minimal implantation in the vertical and/or horizontal directions. Any dopants implantation during the deposition process may also be redistributed at 430.
  • the deposited material and the implanted ions are the same element, such as boron ions in the substrate surface and a boron deposited layer on the surface thereof.
  • Both the deposition and ion implantation processes may be performed in situ within a processing chamber, or may be perform in situ in chambers disposed on the same processing tool, without breaking vacuum, thereby allowing for the processes to be performed during a single processing pass of the substrate.
  • the dopant-containing material 540 deposition, and any implantation in such a process may be performed by generating a plasma of a gas mixture. A RF source power is applied to generate a plasma from the gas mixture in the processing chamber. The plasma is continuously generated during the ratio change in the gas mixture supplied at to dissociate the gas mixture to deposit a material layer any may also produce ion species and implant into the substrate.
  • a RF bias power may be applied along with the RF source power to dissociate and drive the dissociated dopant species from the gas mixture toward and into a desired depth from the substrate surface.
  • the RF source and bias power applied to the processing chamber may be controlled at a desired energy level, thereby allowing the dopant species to be dissociated and deposited with a desired material thickness on the substrate.
  • the dopant-containing material 540 may be deposited to a thickness from about 5 A to about 1 ,000 A, such as from about 50 A to about 100 A, by a plasma process, such as an isotropic plasma environment, from a RF discharge of a plasma chamber, and if any implantation is performed the dopants may be implanted to a depth of less than 100 A with dose in a range from about 5x10 14 atoms/cm 2 to about 5x10 15 atoms/cm 2 .
  • ion implantation is performed with a controlled deposition in one processing step as shown in FIG. 5B.
  • the source RF power may be maintained at between about 100 Watts and about 5,000 Watts.
  • the bias RF power may be maintained at between about 100 Watts and about 10,000 Watts at a RF voltage between about 100 Volts and about 15,000 Volts.
  • the chamber pressure may be maintained at between about 1 mTorr and about 500 mTorr.
  • the substrate temperature may be maintained at between about 10 degrees Celsius and about 500 degrees Celsius.
  • the gas mixture supplied into the processing chamber may include an inert gas.
  • suitable inert gases include N 2 , Ar, He, Xe, and Kr and the like.
  • the inert gas in the processing chamber promotes the ion bombardment in the gas mixture, thereby efficiently increasing the probability of process gas collision, resulting in reduced recombination of ion species.
  • the inert gas may be flowed in to the processing chamber at a rate between about 10 seem and about 2,000 seem, such as between about 50 seem and about 500 seem.
  • One embodiment of a deposition (and implantation) process includes providing a reacting gas, such as diborane (B 2 H 6 ) or arsine (AsH 3 ), into the processing chamber at a flow rate from about 10 to about 1 ,000 seem, such as from about 50 to about 100 seem, applying a bias at a voltage from about 200 to about 10,000 volts(V), such as from about 500 V to about 1 ,500 V, maintaining a chamber pressure from about 1 mTorr to about 100 mTorr, such as from about 7 mTorr to about 25 mTorr, maintaining a wafer chuck temperature from about 15 0 C to about 500 0 C, such as from about 25 0 C to about 45 0 C, with an implantation process at a source power from about 100 W to about 2,000 W, such as from about 200 W to about 300 W, at a duration from about 1 second to about 60 seconds, such as from about 10 seconds to about 30 seconds.
  • a reacting gas such as diborane
  • An example of such a process includes providing diborane (B 2 H 6 ) into the processing chamber at a flow rate of about 100 seem, applying a bias at a voltage of about 1 ,000 V, maintaining a chamber pressure of about 15 mTorr, maintaining a chamber temperature of about 25 0 C, with an implantation process at a source power of about 200 W, at a duration of about 30 seconds.
  • the conformality ratio may be about 70%.
  • the deposited material layer and the ions dissociated from the gas mixture tend to be predominantly deposited and implanted into a horizontal portion of the substrate feature rather than into sidewalls of the substrate feature.
  • the ions implanted into the bottom of the structure/trench may reach a saturated level while the sidewalls of the structure and/or trench may still not have a desired amount of implanted ions.
  • Continuously supplying greater amount of ions into the chamber may result in unwanted deposition accumulated on the bottom of the substrate surface, rather than increasing the dosage, and thus, concentration, of ions implanted into the sidewall of the structure/trench.
  • the deposited material and implanted ions 540 may be redistributed from the horizontal surface of the substrate feature to the vertical surfaces of the substrate feature.
  • the redistribution of dopant containing material 540 and dopants 550 may be accomplished, for example, by a resputtering process, an argon sputtering process, or combinations thereof.
  • the redistributed dopant-containing material 560 may be deposited to a thickness of less than 100 A, such as from 1 A to 50 A thick, on the vertical surfaces of the substrate feature definition 510, and the dopants and redistributed dopants may be implanted to a depth of less than 100 A with dose in a range from about 5x10 14 atoms/cm 2 to about 5x10 15 atoms/cm 2 .
  • the conformality ratio may be from about 70% to about 90% from the redistribution after removal of the dopant-containing material 540 and redistributed dopant-containing material 560.
  • One embodiment of a resputtering process includes providing a sputtering inert gas, and optionally, hydrogen gas, into the processing chamber at a flow rate from about 10 to about 1 ,000 seem, such as from about 100 seem to about 200 seem, applying a bias at a voltage from about 200 to about 7,000 volts(V), such as from about 500 V to about 1 ,000 V, maintaining a chamber pressure from about 7 mTorr to about 100 mTorr, such as from about 10 mTorr to about 15 mTorr, maintaining a wafer chuck temperature from about 15 0 C to about 9O 0 C, such as from about 25 0 C to about 45 0 C, a source power from about 100 W to about 5,000 W, such as from about 200 W to about 300 W, at a step duration from about 5 second to about 50 seconds, such as from about 10 seconds to about 20 seconds.
  • the resputtered or redeposited material may be deposited or implanted to a depth of 100 A.
  • the conformality ratio may be from about 70% to about 90%.
  • An example of such a resputtering process includes providing argon into the processing chamber at a flow rate of about 200 seem, applying a bias at a voltage of about 1 ,000 V, maintaining a chamber pressure of about 7 mTorr, maintaining a wafer temperature of about 25 0 C, a source power of about 200 W, at a duration of about 10 seconds.
  • the redeposited material may be deposited to a depth of 100 A.
  • the conformality ratio may be about 80%.
  • the redistribution process may be performed in situ with the deposition and/or implantation processes in situ within a processing chamber, such as the P3i chamber, or may be perform in situ in chambers disposed on the same processing tool, without breaking vacuum, thereby allowing for the processes to be performed during a single processing pass of the substrate.
  • an etching process may be used to remove some or all of the dopant-containing material 540 and the redistribute dopant-containing material 560, and alternatively, some ions, on the horizontal portion of the substrate feature while retaining the ions implanted in the horizontal portions and vertical portions of the substrate feature as shown in FIG. 5D at 440.
  • the etching process may be an isotropic process to remove more material from the horizontal surfaces than the vertical surfaces.
  • the etching process may be performed in situ with the deposition and/or implantation and/or redistribution processes described herein in chambers disposed on the same processing tool, without breaking vacuum, thereby allowing for the processes to be performed during a single processing pass of the substrate.
  • Suitable etching gases include halogen-containing compounds. Examples of etching compounds include NF 3 , fluorocarbons, and combinations thereof. Hydrogen gas and/or inert gases may be included in the etching gas. Alternatively, a hydrogen plasma process may be used to remove the deposited material layer. In another alternative embodiment, a sputtering plasma of an inert gas may be used to remove the deposited material layer.
  • One embodiment of an etching process includes providing an etching gas, such as nitrogen trifluoride (NF 3 ), into the processing chamber at a flow rate from about 25 to about 1 ,000 seem, such as from about 100 to about 200 seem, or argon gas (Ar) into the processing chamber at a flow rate from about 10 to about 1 ,000 seem, such as from about 100 to about 200 seem, maintaining a chamber pressure from about 5 mTorr to about 200 mTorr, such as from about 10 mTorr to about 20 irnTorr, maintaining a wafer temperature from about 15 0 C to about 9O 0 C, such as from about 25 0 C to about 35 0 C, and applying a source power from about 100 W to about 2,000 W, such as from about 200 W to about 300 W.
  • an etching gas such as nitrogen trifluoride (NF 3 )
  • NF 3 nitrogen trifluoride
  • Ar argon gas
  • An example of an etching process includes providing hydrogen gas into the processing chamber at a flow rate of about 100 seem, maintaining a chamber pressure of about 15 mTorr, maintaining a chamber temperature of about 25 0 C, and applying a source power of about 500 W.
  • operations 410-440 may be repeated or cyclically performed at 450 in one or more sequential actions to provide the desired ion implantation results.
  • Operations 410 and 420 may be performed at the same time during a cycle.
  • Operations 410-440 may be repeated from 1 to about 20 cycles, such as from about 3 to about 5 cycles.

Abstract

Methods for implanting ions into a substrate by a plasma immersion ion implanting process are provided. In one embodiment, a method for implanting ions into a substrate includes providing a substrate into a processing chamber, the substrate comprising substrate surface having one or more features formed therein and each feature having one or more horizontal surfaces and one or more vertical surfaces, generating a plasma from a gas mixture including a reacting gas adapted to produce ions, depositing a material layer on the substrate surface and on at least one horizontal surface of the substrate feature, implanting ions from the plasma into the substrate by an isotropic process into at least one horizontal surface and into at least one vertical surface, and etching the material layer on the substrate surface and the at least one horizontal surface by an anisotropic process.

Description

IMPROVING THE CONFORMAL DOPING IN P3I CHAMBER
BACKGROUND OF THE INVENTION Field of the Invention
[0001] Embodiments of the invention generally relate to the field of semiconductor manufacturing processes and devices, more particular, to methods of implanting ions into a substrate by a plasma immersion ion implantation process.
Description of the Related Art
[0002] Integrated circuits may include more than one million micro-electronic field effect transistors (e.g., complementary metal-oxide-semiconductor (CMOS) field effect transistors) that are formed on a substrate (e.g., semiconductor wafer) and cooperate to perform various functions within the circuit. A CMOS transistor comprises a gate structure disposed between source and drain regions that are formed in the substrate. The gate structure generally comprises a gate electrode and a gate dielectric layer. The gate electrode is disposed over the gate dielectric layer to control a flow of charge carriers in a channel region formed between the drain and source regions beneath the gate dielectric layer.
[0003] An ion implantation process is typically utilized to implant, or dope, ions into the substrate, forming the gate and source drain structure with desired profile and concentration on the substrate. During an ion implantation process, different process gases or gas mixtures may be used to provide ion source species. As the process gases are supplied into the ion implantation processing chamber (such as a P3i chamber commercially available from Applied Materials, Inc., of Santa Clara, California), the gases are subjected to processes to dissociate ions, which are then accelerated toward and into the surface of the substrate. As ions accelerated to the substrate surface are typically in a linear movement, e.g., one directional movement, the ions are mostly implanted into a bottom surface of a structure, e.g., patterns or trenches, formed on the substrate surface rather than to sidewalls of the structure. Non-conformal ion doping may result in insufficient and/or non-uniform ion concentration, profile, dimension, and distribution across the substrate surface, especially in feature definitions formed in the substrate surface, thereby adversely affecting the overall electrical device performance. As critical dimensions shrink, the precision of ion implantation becomes increasingly important. [0004] Therefore, there is a need for an improved ion implantation process to provide for a more conformal implantation of ions on a substrate surface and in feature definitions.
SUMMARY OF THE INVENTION
[0005] Methods for implanting ions into a substrate by a plasma immersion ion implantation process having a balanced etch-deposition process are provided. In one embodiment, a method for implanting ions into a substrate includes providing a substrate into a processing chamber, the substrate comprising substrate surface having one or more features formed therein and each feature having one or more horizontal surfaces and one or more vertical surfaces, generating a plasma from a gas mixture including a reacting gas adapted to produce ions, depositing a material layer on the substrate surface and on at least one horizontal surface of the substrate feature, implanting ions from the plasma into the substrate by an isotropic process into at least one horizontal surface and into at least one vertical surface, and etching the material layer on the substrate surface and the at least one horizontal surface by an anisotropic process.
[0006] In another embodiment, a method for implanting ions into a substrate includes providing a substrate into a processing chamber, the substrate comprising substrate surface having one or more features formed therein and each feature having one or more horizontal surfaces and one or more vertical surfaces, generating a plasma from a gas mixture including a reacting gas adapted to produce ions, depositing a material layer on the substrate surface and on at least one horizontal surface of the substrate feature, implanting ions from the plasma into the substrate by an isotropic process into at least one horizontal surface and at least one vertical surface, sputtering a portion of the material layer, a portion of the implanted ions, or combinations thereof, from the at least one horizontal surface to at least one vertical surface, and etching the substrate surface and the one or more horizontal surfaces and one or more vertical surfaces by an isotropic process. [0007] In another embodiment, a method for implanting ions into a substrate includes providing a substrate into a processing chamber, the substrate comprising substrate surface having one or more features formed therein and each feature having one or more horizontal surfaces and one or more vertical surfaces, depositing a material layer on the substrate surface and on at least one horizontal surface of the substrate feature, generating a plasma from a gas mixture including a reacting gas adapted to produce ions, implanting ions from the plasma into the substrate by an isotropic process into at least one horizontal surface and into at least one vertical surface, and etching the material layer on the substrate surface and the at least one horizontal surface by an anisotropic process.
BRIEF DESCRIPTION OF THE DRAWINGS
[0008] So that the manner in which the above recited features of the present invention can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to embodiments, some of which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
[0009] FIGS. 1A-1 B depict one embodiment of a plasma immersion ion implantation tool suitable for practicing the present invention;
[0010] FIG. 2 depicts a process diagram illustrating a method for plasma immersion ion implantation process according to one embodiment of the present invention;
[0011] FIGS. 3A-3D depict schematic side views of a method for plasma immersion ion implantation process according to one embodiment of the present invention;
[0012] FIG. 4 depicts a process diagram illustrating a method for plasma immersion ion implantation process according to another embodiment of the present invention; and
[0013] FIGS. 5A-5D depict schematic side views of a method for plasma immersion ion implantation process according to another embodiment of the present invention.
[0014] To facilitate understanding, identical reference numerals have been used, where possible, to designate identical elements that are common to the figures. It is contemplated that elements and features of one embodiment may be beneficially incorporated in other embodiments without further recitation.
[0015] It is to be noted, however, that the appended drawings illustrate only exemplary embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
DETAILED DESCRIPTION
[0016] Embodiments of the invention provide methods for implanting ions into a substrate by a plasma immersion ion implantation process. The invention may be used in gate structure or source/drain region formation including, but not limited to, poly doping, counter-poly doping, ultra shallow junction, and conformal doping for structures formed on a substrate, among others. The ion implantation process may be utilized in different applications, such as DRAM, logic products, and flash memory. In one embodiment, the ion implantation process is performed by supplying a gas mixture including a reacting gas, for example a boron-containing gas. A plasma is generated to dissociate ions from the gas mixture, thereby forming a source of ions that are accelerated toward and implanted into an electrically biased substrate and/or deposited as a material layer on the substrate surface. The implanted ions may also be referred to as dopants. The deposited material may be partially or completely removed to result in a dopant material disposed in the substrate surface. The deposition and removal process provides a conformal doping profile in the bottom and side wall of structures disposed in the substrate surface. Alternatively, the deposited material may be sputtered or otherwise redeposited on the substrate surface prior to the etching process. In a further alternative embodiment, the deposited material may be deposited by a separate process before ion implantation.
[0017] FIG. 1A depicts a plasma processing chamber 100 that may be utilized to practice an ion implantation process according to one embodiment of the invention. One suitable reactor chamber which the process may be practiced is a P3i reactor chamber, available from Applied Materials, Inc., of Santa Clara, California. It is contemplated that the methods described herein may be practiced in other suitably adapted plasma reactors, including those from other manufacturers. Other suitable reactor chambers include the chambers described in United States Patent No. 7,094,670, issued on August 22, 2006, and United States Patent No. 6,494,986, issued on December 17, 2002, both of which are hereby incorporated by reference to the extent not inconsistent with the recited claims and description herein. [0018] The processing chamber 100 includes a chamber body 102 having a bottom 124, a top 126, and side walls 122 enclosing a process region 104. A substrate support assembly 128 is supported from the bottom 124 of the chamber body 102 and is adapted to receive a substrate 106 for processing. A gas distribution plate 130 is coupled to the top 126 of the chamber body 102 facing the substrate support assembly 128. A pumping port 132 is defined in the chamber body 102 and coupled to a vacuum pump 134. The vacuum pump 134 is coupled through a throttle valve 136 to the pumping port 132. A gas source 152 is coupled to the gas distribution plate 130 to supply gaseous precursor compounds for processes performed on the substrate 106.
[0019] The processing chamber 100 depicted in FIG. 1A further includes a plasma source 190 best shown in the perspective view of FIG. 1 B. The plasma source 190 includes a pair of separate external reentrant conduits 140, 140' mounted on the outside of the top 126 of the chamber body 102 disposed transverse to one another (or orthogonal to one another as the exemplary embodiment depicted in FIG. 1 B). The first external conduit 140 has a first end 140a coupled through an opening 198 formed in the top 126 into a first side of the process region 104 in the chamber body 102. A second end 140b has an opening 196 coupled into a second side of the process region 104. The second external reentrant conduit 140' has a first end 140a' having an opening 194 coupled into a third side of the process region 104 and a second end 140b' having an opening 192 into a fourth side of the process region 104. In one embodiment, the first and second external reentrant conduits 140, 140' are configured to be orthogonal to one another, thereby providing the two ends 140a, 140a', 140b, 140b' of each external reentrant conduits 140, 140' disposed at about 90 degree intervals around the periphery of the top 126 of the chamber body 102. The orthogonal configuration of the external reentrant conduits 140, 140' allows a plasma source distributed uniformly across the process region 104. It is contemplated that the first and second external reentrant conduits 140, 140' may have other configurations utilized to control plasma distribution in the process region 104.
[0020] Magnetically permeable torroidal cores 142, 142' surround a portion of a corresponding one of the external reentrant conduits 140, 140'. The conductive coils 144, 144' are coupled to respective RF plasma source power sources 146, 146' through respective impedance match circuits or elements 148, 148'. Each external reentrant conduits 140, 140' is a hollow conductive tube interrupted by an insulating annular ring 150, 150' respectively that interrupts an otherwise continuous electrical path between the two ends 140a, 140b (and 140a', 104b') of the respective external reentrant conduits 140, 140'. Ion energy at the substrate surface is controlled by an RF plasma bias power generator 154 coupled to the substrate support assembly 128 through an impedance match circuit or element 156.
[0021] Referring back to FIG. 1A, process gases including gaseous compounds supplied from the process gas source 152 are introduced through the overhead gas distribution plate 130 into the process region 104. RF plasma source power source 146 is coupled from the power applicators, i.e., core and coil, 142, 144 to gases supplied in the conduit 140, which creates a circulating plasma current in a first closed torroidal path including the external reentrant conduit 140 and the process region 104. Also, RF plasma source power source 146' may be coupled from the other power applicators, i.e., core and coil, 142', 144' to gases in the second conduit 140', which creates a circulating plasma current in a second closed torroidal path transverse (e.g., orthogonal) to the first torroidal path. The second torroidal path includes the second external reentrant conduit 140' and the process region 104. The plasma currents in each of the paths oscillate (e.g., reverse direction) at the frequencies of the respective RF plasma source power sources 146, 146', which may be the same or slightly offset from one another.
[0022] In one embodiment, the process gas source 152 provides different process gases that may be utilized to provide ions implanted to the substrate 106. Suitable examples of process gases include B2H6, AsH3, PH3, PF3, BF3, and combinations thereof. The power of each plasma source power sources 146, 146' is operated so that their combined effect efficiently dissociates the process gases supplied from the process gas source 152 and produces a desired ion flux at the surface of the substrate 106. The power of the RF plasma bias power generator 154 is controlled at a selected level at which the ions formed by dissociation from the process gases may be accelerated toward the substrate surface and implanted at a desired depth below the top surface of the substrate 106 at a desired ion dose. The combination of the controlled RF plasma source power and RF plasma bias power dissociates ion in the gas mixture having sufficient momentum and desired ion distribution in the processing chamber 100. The ions are biased and driven toward the substrate surface, thereby implanting ions into the substrate in a desired ion concentration, distribution and depth from the substrate surface. [0023] For example, with relatively low RF bias power, such as less than about 200 W, relatively low plasma ion energy may be obtained. Dissociated ions with low ion energy may be implanted at a shallow depth between about 1 A and about 100 A from the substrate surface. Alternatively, dissociated ions with high ion energy provided and generated from high RF bias power, such as higher than about 1 ,000 W, may be implanted into the substrate having a depth substantially over 100 A from the substrate surface. Furthermore, the controlled ion energy and different types of ion species from the supplied process gases allow ions to be implanted in the substrate 106 to form desired device structures, such as gate structure and source drain region on the substrate 106.
[0024] It has been observed that the process described herein in FIGS. 2, 3A-3D and FIGS. 4, 5A-5D provides for improved conformality over prior processes. Conformality is the implantation of dopant in all surface of a feature definition and is represented by a conformality ratio. A conformality ratio is defined as a ratio of sidewall dopant dosage (i.e., dose) to the feature bottom and/or top surface dopant dose. For example, if a dopant, such as Boron, dose at the structure top surface (implant is in vertical direction, perpendicular to the wafer surface) is about 1016 atoms/cm2, and the dopant dose into the structure sidewall is about 7x1015 atoms/cm2, then the conformality ratio will be about 70%. The conformality ratio may be from about 20% to about 90% by the implantation processes described herein. For example, according to the processes described herein, Boron may be implanted to a conformality from about 50% to about 90% and Arsenic may be implanted to a conformality from about 20% to about 30%.
[0025] Referring to FIGS. 2 and 3A-3D, a method for implanting ions into a substrate by a plasma immersion ion implantation process is described. FIG. 2 depicts a process diagram illustrating a method for plasma immersion ion implantation process according to one embodiment of the present invention. FIGS. 3A-3D depict schematic side views of a method for plasma immersion ion implantation process according to one embodiment of the present invention. [0026] The method may be performed in a plasma immersion ion implantation processing chamber, such as the processing chamber 100, as described in FIG. 1A- 1 B herein, or other suitably adapted chamber.
[0027] The method begins by providing a substrate in the processing chamber 100. In one embodiment, the substrate may be a material such as silicon oxide, silicon carbide, crystalline silicon {e.g., Si<100> or Si<111>), strained silicon, silicon germanium, doped or undoped polysilicon, doped or undoped silicon wafers, doped silicon, germanium, gallium arsenide, gallium nitride, glass, and sapphire. The substrate may have various dimensions, such as 200 mm or 300 mm diameter wafers, as well as, rectangular or square panes. Unless otherwise noted, embodiments and examples described herein are conducted on substrates with a 300 mm diameter. In embodiments where the substrate is utilized to form a gate structure, a polysilicon layer may be disposed on a gate dielectric layer on the substrate.
[0028] A feature 310 may be formed in the substrate 300 as shown in FIG. 3A. The feature may have one or more horizontal surfaces 312 and one or more vertical surfaces 314. The substrate 300 may comprise a material to be doped, for example, a n-type material for p-type doping or a p-type material for a n-type doping. The upper surface of the substrate surface may comprise a material 316 that may be doped for a desired structure, or may not be doped to provide dopant isolation from additional features 310.
[0029] At 210, a gas mixture is supplied into a processing chamber, such as the processing chamber 100 of Figure 1A, that may provide a material for deposition and/or provide ion species for an implantation process. The gas mixture may be supplied from the process gas source 152 to the gas distribution plate 130, as described in FIG. 1A, or by other suitable means.
[0030] In one embodiment, the gas mixture supplied into the processing chamber includes a reacting gas. The reacting gas may provide a dopant material for deposition and/or implantation on a substrate surface and in features including boron, gallium, arsenic, phosphorous and combinations thereof, such as from conventional plasma enhanced chemical vapor deposition process. In one embodiment, the reacting gases that may be used to deposit a material layer include B2H6, AsH3, PH3, PF3, BF3, P2H5, GaN, AsF5, and combinations thereof. [0031] The deposited dopant-containing material layer 340 may be deposited by an isotropic or anisotropic process. FIG. 3B illustrates the deposition of the material by an anisotropic process with the material layer 340 forming predominantly on the horizontal surfaces 312 of the substrate and substrate feature 310. The dopant- containing material layer 340 may be deposited to a thickness from about 5 A to about 1 ,000 A, such as from about 50 A to about 100 A. The dopant-containing material layer 340 may be deposited by a plasma process, such as by an anisotropic plasma environment, from a RF discharge in a P3i chamber.
[0032] The reacting gas may also provide the desired ions to be implanted into the substrate at 220. Ions to be implanted may also be referred to as dopants or dopant species. For example, the reacting gas may provide a source of desired dopant species, such as boron, gallium, arsenic, phosphorous and combinations thereof, used to form active dopants in the electric device to produce desired electrical performance and/or physical properties of the doped region of the substrate.
[0033] In one embodiment, the reacting gases that may be used to provide an ion specie source include B2H6, AsH3, PH3, PF3, BF3, P2H5, GaN, AsF5 and combinations thereof. For example, in embodiments where the reacting gas is B2H6 gas, the B2H6 gas may be dissociated during the implantation process to produce ion species in the form of B2H5 +, BH2 + and H+ ions. FIG. 3C illustrates the implantation depth of the ions/dopants 350 on the horizontal (bottom) and vertical surfaces (sidewalls) 312, 314 of substrate feature 300 by an isotropic process. The implanted dopants 350 may be implanted by a plasma process, such as an isotropic plasma ion environment, from a RF discharge of a P3i chamber.
[0034] The deposition of dopant-containing material layer 340 and ion implantation of dopants 350 may be performed at the same time, concurrently, in the same process or consecutively by one or more plasma deposition and plasma ion implantation processes. In one embodiment of the ion implantation and deposition process, the dopant-containing material layer 340 is deposited at step 210, and then the material layer is bombarded with ions, dopants, 350 at step 220 for the implantation process for the underlying substrate surface, such as shown in FIGS. 3B-3C. In another embodiment of the ion implantation and deposition process, the dopant-containing material layer 340 is deposited at step 210 and the dopants 350 are implanted at step 220 in the same process step at the same time, concurrently, such as shown in FIG. 3C without the process step shown in FIG. 3B. Both processes may be performed in situ within a processing chamber, or may be performed in situ in chambers disposed on the same processing tool, without breaking vacuum, thereby allowing for the processes to be performed during a single processing pass of the substrate.
[0035] In one embodiment of the invention, the deposited material and the implanted ions have the same element, such as boron ions in the substrate surface and a boron deposited layer on the surface thereof. Alternatively, the ions, dopant species, and the deposition material, deposition species have different elements, such as boron as the dopant species and silane as the deposition species. [0036] At 220, an ion implantation process is performed to implant ions generated from the gas mixture into the substrate. A RF source power is applied to generate a plasma from the gas mixture in the processing chamber. The plasma is continuously generated during any flow rate changes in the gas mixture to dissociate the gas mixture as ion species and implant into the substrate. A RF bias power may be applied along with the RF source power to dissociate and drive the dissociated ion species from the gas mixture toward and into a desired depth from the substrate surface. The RF source and bias power applied to the processing chamber may be controlled at a desired energy level, thereby allowing the ion species to be dissociated and doped with a desired dosage and depth in the substrate. In one embodiment of the process described above, the ions, also known as dopants, may be implanted to a depth of less than 100 A at dose in a range about 5x1014 atoms/cm2 to about 5x1015 atoms/cm2. The ion implantation process may result in dopant-material deposition. A concentration of dopants is represented by atoms/cm3, which can be calculated from the dosage/dose of the dopants. [0037] In one embodiment of the process, ion implantation is performed with a controlled deposition in one processing step as shown in FIG. 3A and 3C. For such a deposition and implantation process, the source RF power may be maintained at between about 100 Watts and about 5,000 Watts. The bias RF power may be maintained at between about 100 Watts and about 10,000 Watts at a RF voltage between about 100 Volts and about 15,000 Volts. The chamber pressure may be maintained at between about 1 mTorr and about 500 mTorr. The substrate temperature may be maintained at between about 10 degrees Celsius and about 500 degrees Celsius.
[0038] In another embodiment, the gas mixture supplied into the processing chamber may include an inert gas. Examples of suitable inert gases include N2, Ar, He, Xe, and Kr and the like. The inert gas in the processing chamber promotes the ion bombardment in the gas mixture, thereby efficiently increasing the probability of process gas collision, resulting in reduced recombination of ion species. The inert gas may be flowed in to the processing chamber at a rate between about 10 seem and about 2,000 seem, such as between about 50 seem and about 500 seem. [0039] One embodiment of a concurrent deposition and implantation process includes providing a reacting gas, such as diborane (B2H6) or arsine (AsH3), into the processing chamber at a flow rate from about 10 to about 1 ,000 seem, such as from about 50 seem to about 100 seem, applying a bias at a voltage from about 200 to about 10,000 volts(V), such as from about 500 V to about 1 ,500 V, maintaining a chamber pressure from about 1 mTorr to about 100 mTorr, such as from about 7 mTorr to about 15 mTorr, maintaining a wafer chuck temperature from about 150C to about 5000C1 such as from about 250C to about 450C, with an implantation process at a source power from about 100 W to about 2,000 W, such as from about 200 W to about 300 W, at a duration from about 1 second to about 60 seconds, such as from about 10 seconds to about 30 seconds.
[0040] An example of such a process includes providing diborane (B2H6) into the processing chamber at a flow rate of about 50 seem, applying a bias at a voltage of about 1 ,000 V, maintaining a chamber pressure of about 15 mTorr, maintaining a wafer chuck temperature of about 250C, with an implantation process at a source power of about 200 W, at a duration of about 20 seconds. A conformality ratio of about 70% was observed for the process. The above process may also be used to both deposit the dopant-containing material layer 340 as well as implant the dopants 350. [0041] The deposited material layer was observed to be anisotropically deposited into a horizontal portion of the substrate feature rather than into sidewalls of the substrate feature, and the ions dissociated from the gas mixture were observed to be isotropically implanted into a horizontal portion of the substrate feature and into vertical portions, sidewalls, of the substrate feature to provide for improved conformal implantation. It is believed that the dopants implanted with the deposited material layers were implanted to a more limited depth, thereby, allowing for improved conformality with the implanted dopants in the feature sidewalls. Alternatively, the dopant-containing material layer 340 may be deposited isotropically on the feature surfaces.
[0042] An etching process may be used to remove some or all of the dopant- containing material layer 340 deposition, and alternatively, some implanted dopants, on the horizontal portion of the substrate feature while retaining the ions implanted in the horizontal portions and vertical portions of the substrate feature at 230 as shown in FIG. 3D. The etching process may be an anisotropic etching process. However, an isotropic etching process may be used, such as when dopant-containing material layer 340 is deposited on the vertical surfaces, sidewalls, 314 of the feature definition. The etching process may be performed in situ with the deposition and/or implantation processes described herein in the same chamber or in chambers disposed on the same processing tool, without breaking vacuum, thereby allowing for the processes to be performed during a single processing pass of the substrate. [0043] Suitable etching gases include halogen-containing compounds. Examples of etching compounds include NF3, and CF4. Hydrogen gas and/or inert gases may be included in the etching gas. Alternatively, a hydrogen plasma process may be used to remove the deposited material layer. In another alternative embodiment, a sputtering plasma of an inert gas may be used to remove the deposited material layer.
[0044] One embodiment of an etching process includes providing an etching gas, such as nitrogen trifluoride (NF3), into the processing chamber at a flow rate from about 25 to about 1000 seem, such as from about 50 to about 100 seem, providing an inert gas, such Argon gas (Ar), into the processing chamber at a flow rate from about 10 to about 1 ,000 seem, such as from about 100 to about 200 seem, maintaining a chamber pressure from about 7 mTorr to about 100 mTorr, such as from about 7 mTorr to about 15 mTorr, maintaining a wafer chuck temperature from about 150C to about 9O0C, such as from about 250C to about 350C, and applying a source power from about 100 W to about 2,000 W, such as from about 200 W to about 250 W.
[0045] An example of such an etching process includes providing hydrogen (H2) into the processing chamber at a flow rate of about 200 seem, maintaining a chamber pressure of about 15 mTorr, maintaining a wafer chuck temperature of about 250C, and applying a source power of about 500 W.
[0046] Referring to FIG. 2, operations 210-230 may be repeated or cyclically performed at 240 in one or more sequential actions to provide the desired ion implantation results. Operations 210 and 220 may be performed at the same time, concurrently, during a cycle. Operations 210-230 may be repeated from 1 to about 20 cycles, such as from about 3 to about 5 cycles.
[0047] Referring to FIGS. 4 and 5A-5D, a method for implanting ions into a substrate by a plasma immersion ion implantation process is described. FIG. 4 depicts a process diagram illustrating a method for plasma immersion ion implantation process according to another embodiment of the present invention. FIGS. 5A-5D depict schematic side views of a method for plasma immersion ion implantation process according to another embodiment of the present invention. [0048] The method may be performed in a plasma immersion ion implantation processing chamber, such as the processing chamber 100, as described in FIGS. 1A-1 B, or other suitably adapted chamber.
[0049] The method begins by providing a substrate in the processing chamber. In one embodiment, the substrate 500 may be a material such as silicon oxide, silicon carbide, crystalline silicon (e.g., Si<100> or Si<111 >), strained silicon, silicon germanium, doped or undoped polysilicon, doped or undoped silicon wafers, doped silicon, germanium, gallium arsenide, gallium nitride, glass, and sapphire. The substrate may have various dimensions, such as 200 mm or 300 mm diameter wafers, as well as rectangular or square panes. Unless otherwise noted, embodiments and examples described herein are conducted on substrates with a 300 mm diameter. In embodiments where the substrate is utilized to form a gate structure, a polysilicon layer may be disposed on a gate dielectric layer on the substrate. [0050] A feature definition 510 may be formed in the substrate 500 as shown in FIG. 5A. The feature may have one or more horizontal surfaces 512 and one or more vertical surfaces 514. The substrate 500 may comprise a material to be doped, for example, a n-type material for p-type doping or a p-type material for a n- type doping. The upper surface of the substrate surface may comprise a material 516 that may be doped for a desired structure, or may not be doped to provide dopant isolation of additional features 510.
[0051] At 410, a gas mixture is supplied into the processing chamber. The gas mixture may provide a material for deposition and/or provide ion species for an implantation process. The gas mixture may be supplied from the process gas source 152 to the gas distribution plate 130, as described in FIG. 1A, or by other suitable means.
[0052] In one embodiment, the gas mixture supplied into the processing chamber 100 includes a reacting gas. The reacting gas may provide a material for deposition on a substrate surface including boron, gallium, arsenic, and combinations thereof such as from a conventional plasma enhanced chemical vapor deposition process. In one embodiment, the reacting gases that may be used to deposit a material layer include B2H6, AsH3, PH3, PF3, BF3, P2H5, GaN, AsF5 and combinations thereof. [0053] The deposited material layer 540 may be deposited by an isotropic or anisotropic process. FIG. 5B illustrates the deposition of the material by an anisotropic process with the material forming predominantly on the horizontal surfaces 512 of the substrate and substrate feature definition 510. The material 540 may be deposited to a thickness from about 5 A to about 1 ,000 A, such as from about 50 A to about 100 A. The deposited material 540 may be deposited by a plasma process, such as an isotropic plasma environment, from a RF discharge of a P3i chamber.
[0054] The reacting gas may also provide the desired dopants/ions to be implanted into the substrate. For example, the reacting gas may provide a source of desired ion species, such as B, P, Ga, As, and the like, used to form active dopants in the electric device to produce desired electrical performance and/or physical properties of the doped region of the substrate.
[0055] In one embodiment, the reacting gases that may be used to provide an ion specie source include B2H6, AsH3, PH3, PF3, BF3, P2H5, GaN, AsF5 and combinations thereof. For example, in embodiments where the reacting gas is B2H6 gas, the B2H6 gas may be dissociated during the implantation process to produce ion species in form of BH2+, BH2 + and H+ ions.
[0056] FIG. 5B illustrates one embodiment with implantation of dopants 550 with the deposited dopant-containing material 540 in the horizontal surface 512. Alternatively, no dopant implantation may occur for the deposition of the dopant- containing material 540. In a further alternative embodiment, dopants may be implanted in the vertical surfaces, sidewalls, 514 of the feature definition 510. FIG. 5C illustrates the implantation depth of the ions/dopants 550 on the horizontal and vertical surfaces 512, 514 of substrate 500 following a dopant redistribution process described herein. The ion implantation process may be an isotropic process or anisotropic process, of which isotropic is preferred for the current process. The material deposition process may be an isotropic process or an anisotropic process, of which anisotropic is preferred for the current process.
[0057] The deposition of the dopant-containing material 540 and ion implantation of the dopants 550 may be performed at the same time in the same process or consecutively by one or more plasma deposition and plasma ion implantation processes.
[0058] In one embodiment of the ion implantation and deposition process, the dopant-containing material 540 is deposited and the dopants 550 are implanted in the same process, combining operations 410 and 420 as shown in FIG. 5B, and the dopant-containing material 540, dopants 550, or combinations thereof, are redistributed at 430 as shown in FIG. 5C, such as by sputtering, to redeposit dopant- containing materials and implant dopants (or further implant dopants) in the sidewalls of the feature definition. In one such process, the dopant-containing material 540 and the dopants 550 are only formed on the horizontal surfaces of the feature definition 510. In another embodiment of the ion implantation and deposition process, the process uses alternative operation 420, wherein the dopant-containing material 540 is deposited, and then dopants 550 are implanted in sequential processes, and the material layer and dopants are redistributed, such as by sputtering, to redeposit dopant-containing materials and implant dopants (or further implant dopants) in the sidewalls of the feature definition. [0059] In another embodiment of the ion implantation and deposition process, the dopant-containing material 540 is deposited at 410 with or without implantation at 420, and the material layer is redistributed at 430, such as by sputtering, to redistribute dopant-containing materials and implant dopants in the sidewalls of the feature definition. The dopant-containing materials and the redistribute dopant- containing materials may then be removed from the feature definition. The conformality ratio for such a process has been observed to be from about 50% to about 90%.
[0060] The dopant-containing material 540 is deposited isotropically without or with minimal implantation in the vertical and/or horizontal directions. Any dopants implantation during the deposition process may also be redistributed at 430. In one embodiment of the invention, the deposited material and the implanted ions are the same element, such as boron ions in the substrate surface and a boron deposited layer on the surface thereof.
[0061] Both the deposition and ion implantation processes may be performed in situ within a processing chamber, or may be perform in situ in chambers disposed on the same processing tool, without breaking vacuum, thereby allowing for the processes to be performed during a single processing pass of the substrate. [0062] The dopant-containing material 540 deposition, and any implantation in such a process, may be performed by generating a plasma of a gas mixture. A RF source power is applied to generate a plasma from the gas mixture in the processing chamber. The plasma is continuously generated during the ratio change in the gas mixture supplied at to dissociate the gas mixture to deposit a material layer any may also produce ion species and implant into the substrate. A RF bias power may be applied along with the RF source power to dissociate and drive the dissociated dopant species from the gas mixture toward and into a desired depth from the substrate surface. The RF source and bias power applied to the processing chamber may be controlled at a desired energy level, thereby allowing the dopant species to be dissociated and deposited with a desired material thickness on the substrate. In one embodiment of the invention, the dopant-containing material 540 may be deposited to a thickness from about 5 A to about 1 ,000 A, such as from about 50 A to about 100 A, by a plasma process, such as an isotropic plasma environment, from a RF discharge of a plasma chamber, and if any implantation is performed the dopants may be implanted to a depth of less than 100 A with dose in a range from about 5x1014 atoms/cm2 to about 5x1015 atoms/cm2.
[0063] In one embodiment of the process, ion implantation is performed with a controlled deposition in one processing step as shown in FIG. 5B. For such a deposition and implantation process, the source RF power may be maintained at between about 100 Watts and about 5,000 Watts. The bias RF power may be maintained at between about 100 Watts and about 10,000 Watts at a RF voltage between about 100 Volts and about 15,000 Volts. The chamber pressure may be maintained at between about 1 mTorr and about 500 mTorr. The substrate temperature may be maintained at between about 10 degrees Celsius and about 500 degrees Celsius.
[0064] In another embodiment, the gas mixture supplied into the processing chamber may include an inert gas. Examples of suitable inert gases include N2, Ar, He, Xe, and Kr and the like. The inert gas in the processing chamber promotes the ion bombardment in the gas mixture, thereby efficiently increasing the probability of process gas collision, resulting in reduced recombination of ion species. The inert gas may be flowed in to the processing chamber at a rate between about 10 seem and about 2,000 seem, such as between about 50 seem and about 500 seem. [0065] One embodiment of a deposition (and implantation) process includes providing a reacting gas, such as diborane (B2H6) or arsine (AsH3), into the processing chamber at a flow rate from about 10 to about 1 ,000 seem, such as from about 50 to about 100 seem, applying a bias at a voltage from about 200 to about 10,000 volts(V), such as from about 500 V to about 1 ,500 V, maintaining a chamber pressure from about 1 mTorr to about 100 mTorr, such as from about 7 mTorr to about 25 mTorr, maintaining a wafer chuck temperature from about 150C to about 5000C, such as from about 250C to about 450C, with an implantation process at a source power from about 100 W to about 2,000 W, such as from about 200 W to about 300 W, at a duration from about 1 second to about 60 seconds, such as from about 10 seconds to about 30 seconds.
[0066] An example of such a process includes providing diborane (B2H6) into the processing chamber at a flow rate of about 100 seem, applying a bias at a voltage of about 1 ,000 V, maintaining a chamber pressure of about 15 mTorr, maintaining a chamber temperature of about 250C, with an implantation process at a source power of about 200 W, at a duration of about 30 seconds. The conformality ratio may be about 70%.
[0067] The deposited material layer and the ions dissociated from the gas mixture tend to be predominantly deposited and implanted into a horizontal portion of the substrate feature rather than into sidewalls of the substrate feature. As the dissociated ions continue increasing in the chamber, the ions implanted into the bottom of the structure/trench may reach a saturated level while the sidewalls of the structure and/or trench may still not have a desired amount of implanted ions. Continuously supplying greater amount of ions into the chamber may result in unwanted deposition accumulated on the bottom of the substrate surface, rather than increasing the dosage, and thus, concentration, of ions implanted into the sidewall of the structure/trench.
[0068] The deposited material and implanted ions 540 may be redistributed from the horizontal surface of the substrate feature to the vertical surfaces of the substrate feature. The redistribution of dopant containing material 540 and dopants 550 may be accomplished, for example, by a resputtering process, an argon sputtering process, or combinations thereof. The redistributed dopant-containing material 560 may be deposited to a thickness of less than 100 A, such as from 1 A to 50 A thick, on the vertical surfaces of the substrate feature definition 510, and the dopants and redistributed dopants may be implanted to a depth of less than 100 A with dose in a range from about 5x1014 atoms/cm2 to about 5x1015 atoms/cm2. The conformality ratio may be from about 70% to about 90% from the redistribution after removal of the dopant-containing material 540 and redistributed dopant-containing material 560. [0069] One embodiment of a resputtering process includes providing a sputtering inert gas, and optionally, hydrogen gas, into the processing chamber at a flow rate from about 10 to about 1 ,000 seem, such as from about 100 seem to about 200 seem, applying a bias at a voltage from about 200 to about 7,000 volts(V), such as from about 500 V to about 1 ,000 V, maintaining a chamber pressure from about 7 mTorr to about 100 mTorr, such as from about 10 mTorr to about 15 mTorr, maintaining a wafer chuck temperature from about 150C to about 9O0C, such as from about 250C to about 450C, a source power from about 100 W to about 5,000 W, such as from about 200 W to about 300 W, at a step duration from about 5 second to about 50 seconds, such as from about 10 seconds to about 20 seconds. The resputtered or redeposited material may be deposited or implanted to a depth of 100 A. The conformality ratio may be from about 70% to about 90%. [0070] An example of such a resputtering process includes providing argon into the processing chamber at a flow rate of about 200 seem, applying a bias at a voltage of about 1 ,000 V, maintaining a chamber pressure of about 7 mTorr, maintaining a wafer temperature of about 250C, a source power of about 200 W, at a duration of about 10 seconds. The redeposited material may be deposited to a depth of 100 A. The conformality ratio may be about 80%.
[0071] The redistribution process may be performed in situ with the deposition and/or implantation processes in situ within a processing chamber, such as the P3i chamber, or may be perform in situ in chambers disposed on the same processing tool, without breaking vacuum, thereby allowing for the processes to be performed during a single processing pass of the substrate.
[0072] Following the redistribution process, an etching process may be used to remove some or all of the dopant-containing material 540 and the redistribute dopant-containing material 560, and alternatively, some ions, on the horizontal portion of the substrate feature while retaining the ions implanted in the horizontal portions and vertical portions of the substrate feature as shown in FIG. 5D at 440. The etching process may be an isotropic process to remove more material from the horizontal surfaces than the vertical surfaces. The etching process may be performed in situ with the deposition and/or implantation and/or redistribution processes described herein in chambers disposed on the same processing tool, without breaking vacuum, thereby allowing for the processes to be performed during a single processing pass of the substrate.
[0073] Suitable etching gases include halogen-containing compounds. Examples of etching compounds include NF3, fluorocarbons, and combinations thereof. Hydrogen gas and/or inert gases may be included in the etching gas. Alternatively, a hydrogen plasma process may be used to remove the deposited material layer. In another alternative embodiment, a sputtering plasma of an inert gas may be used to remove the deposited material layer.
[0074] One embodiment of an etching process includes providing an etching gas, such as nitrogen trifluoride (NF3), into the processing chamber at a flow rate from about 25 to about 1 ,000 seem, such as from about 100 to about 200 seem, or argon gas (Ar) into the processing chamber at a flow rate from about 10 to about 1 ,000 seem, such as from about 100 to about 200 seem, maintaining a chamber pressure from about 5 mTorr to about 200 mTorr, such as from about 10 mTorr to about 20 irnTorr, maintaining a wafer temperature from about 150C to about 9O0C, such as from about 250C to about 350C, and applying a source power from about 100 W to about 2,000 W, such as from about 200 W to about 300 W.
[0075] An example of an etching process includes providing hydrogen gas into the processing chamber at a flow rate of about 100 seem, maintaining a chamber pressure of about 15 mTorr, maintaining a chamber temperature of about 250C, and applying a source power of about 500 W.
[0076] Referring to FIG. 4, operations 410-440 may be repeated or cyclically performed at 450 in one or more sequential actions to provide the desired ion implantation results. Operations 410 and 420 may be performed at the same time during a cycle. Operations 410-440 may be repeated from 1 to about 20 cycles, such as from about 3 to about 5 cycles.
[0077] Thus, methods for implanting ions into a substrate by a plasma immersion ion implanting process are provided. The improved method advantageously implants a desired amount of dopants to form a desired implanted profile on a substrate surface, thereby providing an uniform doped ion dosage cross the substrate and forming electric devices on the substrate with desired electrical performance. [0078] While the foregoing is directed to embodiments of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims

What is claimed is:
1. A method for implanting ions into a substrate by a plasma immersion ion implantation process, comprising: providing a substrate into a processing chamber, the substrate comprising a substrate surface having one or more features formed therein and each feature having one or more horizontal surfaces and one or more vertical surfaces; implanting ions from a plasma into at least one of the horizontal surfaces and into at least one of the vertical surfaces by an isotropic process; and etching the substrate surface and the one or more horizontal surfaces by an anisotropic process.
2. The method of claim 1 , wherein implanting ions from the plasma and etching the one or more horizontal surfaces are performed sequentially in one or more cycles.
3. A method for implanting ions into a substrate by a plasma immersion ion implantation process, comprising: providing a substrate into a processing chamber, the substrate comprising a substrate surface having one or more features formed therein and each feature having one or more horizontal surfaces and one or more vertical surfaces; generating a plasma from a gas mixture including a reacting gas adapted to produce ions; depositing a material layer from the plasma on the substrate surface and on at least one of the horizontal surfaces; implanting ions from the plasma into the substrate by an isotropic process and into at least one of the horizontal surfaces and at least one of the vertical surfaces; sputtering a portion of the material layer, a portion of the implanted ions, or combinations thereof, from at least one of the horizontal surfaces to at least one of the vertical surfaces; and etching the substrate surface and the one or more horizontal surfaces and the one or more vertical surfaces by an isotropic process.
4. The method of claim 3, wherein the reacting gas comprises a gas selected from the group consisting of a boron-containing gas, an arsenic-containing gas, phosphorus-containing gas and combinations thereof.
5. The method of claim 4, wherein the reacting gas comprises a gas selected from the group consisting of B2H6, AsH3, PH3, and combinations thereof.
6. The method of claim 1 or claim 3, wherein etching the one or more horizontal surfaces comprises: providing an etching gas selected from a halogen-containing gas; and generating a plasma from the halogen-containing gas.
7. The method of claim 6, wherein the etching gas further comprise an inert gas or hydrogen gas.
8. The method of claim 3, wherein implanting ions from the plasma, sputtering the implanted ions, and etching the one or more horizontal surface are performed sequentially in one or more cycles.
9. The method of claim 3, wherein depositing a material from the gas mixture on the substrate surface and implanting ions from the plasma into the substrate by an isotropic process are performed concurrently.
10. The method of claim 1 or claim 3, wherein the ions are implanted to a depth of less than 100 A.
11. A method for implanting ions into a substrate by a plasma immersion ion implantation process, comprising: providing a substrate into a processing chamber, the substrate comprising a substrate surface having one or more features formed therein and each feature having one or more horizontal surfaces and one or more vertical surfaces; depositing a material layer on the substrate surface and on at least one of the horizontal surfaces of the substrate feature; generating a plasma from a gas mixture including a reacting gas adapted to produce ions; implanting ions from the plasma into the substrate by an isotropic process and into at least one of the horizontal surfaces and into at least one of the vertical surfaces; and sputtering a portion of the material layer, a portion of the implanted ions, or combinations thereof, from at least one of the horizontal surfaces to at least one of the vertical surfaces.
12. The method of claim 11 , wherein depositing the material layer and implanting ions from the plasma are performed concurrently.
13. The method of claim 3 or claim 11 , wherein sputtering the implanted ions comprises exposing the substrate surface to an inert gas plasma.
14. The method of claim 11 , wherein depositing the material layer and implanting ions from the plasma are performed in the same process.
15. The method of claim 11 , wherein depositing the material layer, implanting ions from the plasma, and sputtering are performed in the same chamber.
PCT/US2009/062172 2008-10-31 2009-10-27 Improving the conformal doping in p3i chamber WO2010051266A2 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
CN2009801434414A CN102203912B (en) 2008-10-31 2009-10-27 Improving the conformal doping in p3i chamber
JP2011534673A JP5558480B2 (en) 2008-10-31 2009-10-27 Improvement of conformal doping in P3i chamber

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11047508P 2008-10-31 2008-10-31
US61/110,475 2008-10-31

Publications (2)

Publication Number Publication Date
WO2010051266A2 true WO2010051266A2 (en) 2010-05-06
WO2010051266A3 WO2010051266A3 (en) 2010-07-29

Family

ID=42129525

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2009/062172 WO2010051266A2 (en) 2008-10-31 2009-10-27 Improving the conformal doping in p3i chamber

Country Status (6)

Country Link
US (1) US8129261B2 (en)
JP (1) JP5558480B2 (en)
KR (1) KR101626565B1 (en)
CN (1) CN102203912B (en)
TW (1) TWI524391B (en)
WO (1) WO2010051266A2 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2765596A1 (en) * 2013-02-12 2014-08-13 International Rectifier Corporation Ion implantation at high temperature surface equilibrium conditions
US9202687B2 (en) 2007-06-25 2015-12-01 Infineon Technologies Americas Corp. Fabrication of III-nitride layers
US9218991B2 (en) 2007-06-25 2015-12-22 Infineon Technologies Americas Corp. Ion implantation at high temperature surface equilibrium conditions

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103377888A (en) * 2012-04-13 2013-10-30 南亚科技股份有限公司 Method of making doped region
US20130288469A1 (en) * 2012-04-27 2013-10-31 Applied Materials, Inc. Methods and apparatus for implanting a dopant material
WO2016003602A1 (en) * 2014-07-03 2016-01-07 Applied Materials, Inc. Method and apparatus for selective deposition
KR20180085807A (en) * 2015-12-18 2018-07-27 어플라이드 머티어리얼스, 인코포레이티드 Cleaning method
US11761080B2 (en) 2021-01-05 2023-09-19 Applied Materials, Inc. Method for processing a substrate by oscillating a boundary layer of the flow of one or more process gases over a surface of a substrate and systems for processing a substrate using the method

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050199946A1 (en) * 2004-03-12 2005-09-15 Sharp Kabushiki Kaisha Semiconductor memory device and manufacturing method thereof
US20060088784A1 (en) * 2004-10-21 2006-04-27 Fei-Yun Chen Effective photoresist stripping process for high dosage and high energy ion implantation
US20060148220A1 (en) * 2005-01-04 2006-07-06 Nick Lindert Plasma implantation of impurities in junction region recesses

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US759521A (en) * 1903-06-01 1904-05-10 Archie G Hohenstein Steam-boiler.
JPS62198124A (en) * 1986-02-26 1987-09-01 Mitsubishi Electric Corp Manufacture of semiconductor device
US4728617A (en) * 1986-11-04 1988-03-01 Intel Corporation Method of fabricating a MOSFET with graded source and drain regions
JPS63200528A (en) * 1987-02-17 1988-08-18 Toshiba Corp Manufacture of semiconductor device
JPS6430224A (en) * 1987-07-27 1989-02-01 Matsushita Electric Ind Co Ltd Plasma processing method
JPH01143254A (en) * 1987-11-28 1989-06-05 Mitsubishi Electric Corp Semiconductor storage device
JPH01303718A (en) * 1988-06-01 1989-12-07 Hitachi Ltd Impurity introduction into semiconductor
JPH02159028A (en) * 1988-12-13 1990-06-19 Matsushita Electric Ind Co Ltd Removal of foreign substance attached to surface of solid matter by plasma
IT1225636B (en) * 1988-12-15 1990-11-22 Sgs Thomson Microelectronics EXCAVATION METHOD WITH ROUNDED BOTTOM PROFILE FOR INSULATION STRUCTURES BUILT IN SILICON
US5801082A (en) * 1997-08-18 1998-09-01 Vanguard International Semiconductor Corporation Method for making improved shallow trench isolation with dielectric studs for semiconductor integrated circuits
JP2001267326A (en) * 2000-03-14 2001-09-28 Nec Yamagata Ltd Semiconductor device and its manufacturing method
US6312999B1 (en) * 2001-03-29 2001-11-06 Chartered Semiconductor Manufacturing Ltd. Method for forming PLDD structure with minimized lateral dopant diffusion
US20050287307A1 (en) * 2004-06-23 2005-12-29 Varian Semiconductor Equipment Associates, Inc. Etch and deposition control for plasma implantation
KR100761829B1 (en) * 2005-12-15 2007-09-28 삼성전자주식회사 semiconductor device, CMOS image sensor, method for manufacturing the semiconductor device and method for manufacturing the CMOS image sensor
KR100683867B1 (en) * 2006-02-09 2007-02-15 삼성전자주식회사 Semiconductor devices and methods of forming the same
US7732309B2 (en) * 2006-12-08 2010-06-08 Applied Materials, Inc. Plasma immersed ion implantation process
KR100890256B1 (en) * 2007-05-29 2009-03-24 삼성전자주식회사 Semiconductor device employing a transistor having a recessed channel region and method of fabricating the same

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050199946A1 (en) * 2004-03-12 2005-09-15 Sharp Kabushiki Kaisha Semiconductor memory device and manufacturing method thereof
US20060088784A1 (en) * 2004-10-21 2006-04-27 Fei-Yun Chen Effective photoresist stripping process for high dosage and high energy ion implantation
US20060148220A1 (en) * 2005-01-04 2006-07-06 Nick Lindert Plasma implantation of impurities in junction region recesses

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9202687B2 (en) 2007-06-25 2015-12-01 Infineon Technologies Americas Corp. Fabrication of III-nitride layers
US9218991B2 (en) 2007-06-25 2015-12-22 Infineon Technologies Americas Corp. Ion implantation at high temperature surface equilibrium conditions
EP2765596A1 (en) * 2013-02-12 2014-08-13 International Rectifier Corporation Ion implantation at high temperature surface equilibrium conditions

Also Published As

Publication number Publication date
CN102203912B (en) 2013-11-13
CN102203912A (en) 2011-09-28
WO2010051266A3 (en) 2010-07-29
US20100112793A1 (en) 2010-05-06
KR101626565B1 (en) 2016-06-01
KR20110091722A (en) 2011-08-12
JP5558480B2 (en) 2014-07-23
JP2012507866A (en) 2012-03-29
TW201025428A (en) 2010-07-01
US8129261B2 (en) 2012-03-06
TWI524391B (en) 2016-03-01

Similar Documents

Publication Publication Date Title
US8273624B2 (en) Plasma immersed ion implantation process using balanced etch-deposition process
US9911594B2 (en) Selective atomic layer deposition process utilizing patterned self assembled monolayers for 3D structure semiconductor applications
JP6629312B2 (en) Method and apparatus for selective deposition
US8129261B2 (en) Conformal doping in P3I chamber
US8501605B2 (en) Methods and apparatus for conformal doping
US7223676B2 (en) Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer
TWI665735B (en) Conversion process utilized for manufacturing advanced 3d features for semiconductor device applications
US8288257B2 (en) Doping profile modification in P3I process
US20120302048A1 (en) Pre or post-implant plasma treatment for plasma immersed ion implantation process

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 200980143441.4

Country of ref document: CN

121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 09824061

Country of ref document: EP

Kind code of ref document: A2

WWE Wipo information: entry into national phase

Ref document number: 2011534673

Country of ref document: JP

NENP Non-entry into the national phase

Ref country code: DE

ENP Entry into the national phase

Ref document number: 20117012444

Country of ref document: KR

Kind code of ref document: A

122 Ep: pct application non-entry in european phase

Ref document number: 09824061

Country of ref document: EP

Kind code of ref document: A2